




版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
1、 CPLD/FPGA設計及應用課程設計課程設計報告題 目: 基于FPGA的交通信號燈設計 院 (系): 信息科學與工程學院 專業班級: 通信工程 學生姓名: 20 16 年 03 月 14 日至20 16 年 04 月 8 日目 錄一 設計目的11.交通燈介紹22. EDA技術的發展23. 基于FPGA的交通燈的優點3二 設計過程41.總體設計思路42.總體設計模塊53. 控制電路的模塊VHDL設計實現63.1分頻模塊63.2定時模塊73.3狀態轉換模塊83.4 禁止通行模塊123.5顯示模塊144.硬件電路設計與調試16三 實驗總結17參考文獻17附錄一(程序代碼)18一 設計目的引言:隨著
2、社會和汽車工業的快速發展,近幾年機動車輛急劇增加,道路超負荷承載現象日趨嚴重,致使交通事故逐年增加。交通信號燈是城市交通有序、安全、快速運行的重要保障,而交通信號燈的正常工作就成了保障交通有序、安全、快速運行的關鍵。如何實現人、車、路三者關系的協調,已成為交通管理部門需要切實解決的問題。該設計分析了現代城市交通控制與管理問題的現狀,結合城鄉交通的實際情況闡述了交通燈控制系統的工作原理,采用了層次化的設計方法,基于VHDL語言實現紅綠燈的自動指揮的硬件實現方法。通過電路優化設計,可以使用規模更小的可編程邏輯芯片,從而降低系統成本。該設計是針對交通信號燈控制器的設計問題,采用EDA技術實現的交通燈
3、控制電路的設計方案。本設計有效的克服了傳統的交通燈控制的缺點而采取自上而下的設計思路。該設計在軟件Quartus11.0下應用硬件描述語言VHDL語言完成設計文件,并對其進行了功能仿真和時序仿真,且給出了相應的仿真結果,繪制出了具體的邏輯電路,最后將其下載到Alter公司生產的Cyclone系列的EP2C8Q208開發板上進行邏輯驗證,實現軟件和硬件的安全連接,達到了對交通燈的自動安全控制的目的。 關鍵字:交通燈控制;EDA; VHDL;Quartus;EP2C8Q2081.交通燈介紹當今,紅綠燈安裝在各個道口上,已經成為疏導交通車輛最常見和最有效的手段。但這一技術在19世紀就已出現了。185
4、8年,在英國倫敦主要街頭安裝了以燃煤氣為光源的紅,藍兩色的機械扳手式信號燈,用以指揮馬車通行。這是世界上最早的交通信號燈。1868年,英國機械工程師納伊特在倫敦威斯敏斯特區的議會大廈前的廣場上,安裝了世界上最早的煤氣紅綠燈。1914年由紅綠黃三色圓形的投光器組成的紅綠燈始安裝于紐約市5號大街的一座高塔上。1918年,又出現了帶控制的紅綠燈和紅外線紅綠燈。信號燈的出現,使交通得以有效管制,對于疏導交通流量、提高道路通行能力,減少交通事故有明顯效果。1968年,聯合國道路交通和道路標志信號協定對各種信號燈的含義作了規定。綠燈是通行信號,面對綠燈的車輛可以直行,左轉彎和右轉彎,除非另一種標志禁止某一
5、種轉向。左右轉彎車輛都必須讓合法地正在路口內行駛的車輛和過人行橫道的行人優先通行。紅燈是禁行信號,面對紅燈的車輛必須在交叉路口的停車線后停車。黃燈是警告信號,面對黃燈的車輛不能越過停車線,但車輛已十分接近停車線而不能安全停車時可以進入交叉路口。2. EDA技術的發展EDA是電子設計自動化(Electronic Design Automation)的縮寫,是指以計算機為工作平臺,融合應用電子技術、計算機技術、智能化技術最新成果而研制成的電子CAD通用軟件包,主要能輔助進行三方面的設計工作,即IC設計、電子電路設計和PCB設計。是從計算機輔助設計(CAD)、計算機輔助制造(CAM)、計算機輔助測試
6、(CAT)和計算機輔助工程(CAE)的概念發展而來的。EDA技術就是以計算機為工具,設計者在EDA軟件平臺上,用硬件描述語言HDL完成設計文件,然后由計算機自動地完成邏輯編譯、化簡、分割、綜合、優化、布局、布線和仿真,直至對于特定目標芯片的適配編譯、邏輯映射和編程下載的高層次的電子設計方法。EDA技術的出現,極大地提高了電路設計的效率和可用性,減輕了設計者的勞動強度。EDA技術是電子設計領域的一場革命,代表了當今電子設計技術的最新發展方向,目前正處于高速發展階段,每年都有新的EDA工具問世。3. 基于FPGA的交通燈的優點傳統的交通燈控制系統多數由單片機或PLC實現,基于EDA技術FPGA的設
7、計方法設計交通燈系統就是使用硬件描述語言(HDL)來完成系統的設計文件,應用VHDL的數字電路實驗降低了數字系統的設計難度,這在電子設計領域已得到設計者的廣泛采用。具有周期短,設計靈活,易于修改等明顯的優點,而且隨著FPGA器件、設計語言和電子設計自動化工具的發展和改進,越來越多的電子系統采用FPGA來設計,還有,通過FPGA設計電子系統,一旦該系統可以達到一定規模的量產,很容易轉化為ASIC芯片設計。相信在將來,FPGA設計方法將更大規模的應用于各種類型的電子系統設計中。本設計就是針對交通信號燈控制器的設計問題,并采用自頂向底的設計思路。提出了基于VHDL語言的交通信號燈系統的硬件實現方法。
8、通過對系統進行結構分析,采用了層次化的設計方法,給出了各個模塊的VHDL程序,并且利用Quartus 對應用程序進行了仿真,并給出了相應的仿真結果。在用VHDL語言進行電路設計時,應充分認識到VHDL語言的特點,從設計思想、語句運用及描述方法上等多方面對電路進行優化設計。通過電路優化設計,可以使用規模更小的可編程邏輯芯片,從而降低系統成本。二 設計過程1.總體設計思路所要設計的交通信號燈控制電路,要能夠適用于由一條主干道和一條支干道的匯合點形成的十字交叉路口,主、支干道的紅綠燈閃亮時間不完全相同。具體的設計要求如下:實現控制一條主干道和一條次干道匯合組成的十字交叉路口,使主、支干道上的車輛行人
9、交替通行,其中主干道車輛行人通行35秒,此時支干道上車輛行人禁止通行;支干道車輛通行25秒,同樣此時主干道上車輛禁止通行。每當信號燈由綠燈轉換成紅燈之前,黃燈要先亮5秒,此時另一個干道紅燈不變,禁止通行。在黃燈亮點亮5秒,以提示車輛行人。在主干道上安裝有數碼管,用來顯示本道各信號燈閃亮的剩余時間。 外觀示意圖如圖1所示: 主干道 紅 黃 綠 計時器 燈 燈 燈 倒計時 支 紅 燈 干 黃 燈 道 綠 燈 圖1 1.在十字路口的兩個方向上各設一組紅黃綠燈,顯示順序其中一方向是綠燈、黃燈、紅燈,另一方向是紅燈、綠燈、黃燈。2.設置一組數碼管,以倒計時的方式顯示允許通行或禁止通行時間,其中主干道綠燈
10、亮的時間是35s,支干道綠燈亮的時間是30s,黃燈亮的時間都是5s。3.擴展:當緊急情況出現時,按下手動開關,兩條道路都顯示紅燈,此時禁止通行,倒計時停止;按下控制開關,恢復正常。2.總體設計模塊1.把由50M的有源晶振產生的現場可編程邏輯器件FPGA的系統時鐘輸入到分頻模塊,經分頻模塊分頻產生頻率為1Hz的時鐘脈沖,作為控制定時模塊、控制模塊、緊急模塊、計數模塊的時鐘信號,然后再由定時模塊來控制緊急模塊和控制模塊,按照交通管理規則控制交通工作狀態的切換,最后,由系統時鐘和計數模塊以及控制模塊來共同控制計數器控制模塊,計數器的時鐘為1Hz,再把計數器控制模塊送出的BCD碼送給譯碼器譯碼后,送給
11、數碼管顯示各方向直行綠燈的倒計時。模塊圖如圖2所示:交 通 燈狀態轉換模塊計數模塊數 碼 管控制模塊分頻模塊 圖2 控制系統模塊圖2.Cyclone系列的EP2C8Q208的脈沖為50MHZ,為了保證設計的精度,在設計的過程中采用兩次分頻,同時在設計過程中為了防止出現意外情況的發生,加入了一個控制信號控制交通燈的啟用或停止,交通信號實現原理如圖3所示: 圖3 交通燈實現模塊圖3. 控制電路的模塊VHDL設計實現3.1分頻模塊 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std
12、_logic_arith.all;entity jiao_tong is port(clk:in std_logic); -20MHz晶振時鐘end jiao_tong;architecture fenpin of jiao_tong is signal clk1khz,clk1hz:std_logic; -分頻信號包含1hz和1khzbeginp1:process(clk)variable count:integer range 0 to 9999;beginif clk'event and clk='1' thenif count=9999 then clk1khz
13、<=not clk1khz;count:=0;else count:=count+1;end if;end if;end process p1;p2:process(clk1khz) -1hz分頻-variable count:integer range 0 to 499;beginif clk1khz'event and clk1khz='1' thenif count=499 then clk1hz<=not clk1hz;count:=0;else count:=count+1;end if;end if;end process p2;end fenp
14、in;3.2定時模塊p3:process(clk1hz)variable a:std_logic; -倒計時賦值標志位variable qh:std_logic_vector(3 downto 0); -計數的高位和低位variable ql:std_logic_vector(3 downto 0);beginif clk1hz'event and clk1hz='1' then -如果是下降延if jin='0' then -狀態st1,主干道通行35sif a='0' thenqh:="0011" ql:=&quo
15、t;0100"a:='1'elsif qh=0and ql=1 then -如果倒計時結束,則轉到st2狀態a:='0'qh:="0000"ql:="0000"elsif ql=0 then -實現倒計時35sql:="1001"qh:=qh-1;elseql:=ql-1;end if;end if;end if;end if;end p3;3.3狀態轉換模塊library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned
16、.all;use ieee.std_logic_arith.all;entity jiao_tong is port(clk:in std_logic; -20MHz晶振時鐘jin:in std_logic); -禁止通行信號end jiao_tong;architecture one of jiao_tong is type states is(st1,st2,st3,st4); -4種狀態signal clk1khz,clk1hz:std_logic; -分頻信號包含1hz和1khzsignal one,ten:std_logic_vector(3 downto 0); -倒計時的個位和十
17、位signal cnt:std_logic_vector(1 downto 0); signal data:std_logic_vector(3 downto 0); -數碼管掃描計數信號signal seg7_temp:std_logic_vector(6 downto 0); signal r1,r2,y1,y2,g1,g2:std_logic;beginp3:process(clk1hz) -交通狀態轉換variable stx:states;variable a:std_logic; -倒計時賦值標志位variable qh:std_logic_vector(3 downto 0);
18、-計數的高位和低位variable ql:std_logic_vector(3 downto 0);beginif clk1hz'event and clk1hz='1' then -如果是下降延case stx iswhen st1=>if jin='0' then -狀態st1,主干道通行35sif a='0' thenqh:="0011" ql:="0100"a:='1'r1<='0'y1<='0'g1<='1
19、39;r2<='1'y2<='0'g2<='0'elseif qh=0and ql=1 then -如果倒計時結束,則轉到st2狀態stx:=st2;a:='0'qh:="0000"ql:="0000"elsif ql=0 then -實現倒計時35sql:="1001"qh:=qh-1;elseql:=ql-1;end if;end if;end if;when st2=>if jin='0' then -狀態st2,主干道黃燈倒
20、計時5sif a='0' thenqh:="0000"ql:="0100"a:='1'r1<='0'y1<='1' -主干道黃燈點亮g1<='0'r2<='1' -支干道紅燈點亮y2<='0'g2<='0'elseif ql=1 then -如果倒計時結束,則轉到st3狀態stx:=st3;a:='0'qh:="0000"ql:="0000&quo
21、t;elseql:=ql-1;end if;end if;end if;when st3=>if jin='0' then -狀態st3,支干道通行25Sif a='0' thenqh:="0010"ql:="0100"a:='1'r1<='1' -主干道紅燈點亮y1<='0'g1<='0'r2<='0'y2<='0'g2<='1' -支干道綠燈點亮elseif qh=0
22、and ql=1 then -如果倒計時結束,則轉到st4狀態stx:=st4;a:='0'qh:="0000"ql:="0000"elsif ql=0 then -實現倒計時25Sql:="1001"qh:=qh-1;elseql:=ql-1;end if;end if;end if;when st4=>if jin='0' then -狀態st4,支干道黃燈倒計時5sif a='0' thenqh:="0000"ql:="0100"a:=
23、'1'r1<='1' -主干道紅燈點亮y1<='0'g1<='0'r2<='0'y2<='1' -支干道黃燈點亮g2<='0'elseif ql=1 then -如果倒計時結束,則轉到st1狀態stx:=st1;a:='0'qh:="0000"ql:="0000"elseql:=ql-1;end if;end if;end if;end case;end if;one<=ql;ten&l
24、t;=qh; end process p3;3.4 禁止通行模塊entity jiao_tong is port(clk:in std_logic; -20MHz晶振時鐘jin:in std_logic; -禁止通行信號ra,ya,ga:out std_logic; -主干道紅黃綠燈rb,yb,gb:out std_logic); -支紅黃綠燈end jiao_tong;architecture one of jiao_tong is type states is(st1,st2,st3,st4); -4種狀態signal clk1khz,clk1hz:std_logic; -分頻信號包含1h
25、z和1khzsignal one,ten:std_logic_vector(3 downto 0); -倒計時的個位和十位signal cnt:std_logic_vector(1 downto 0); signal data:std_logic_vector(3 downto 0); -數碼管掃描計數信號signal seg7_temp:std_logic_vector(6 downto 0); signal r1,r2,y1,y2,g1,g2:std_logic;beginp4:process(jin,clk1hz,r1,r2,g1,g2,y1,y2,seg7_temp) -禁止通行信號數
26、碼管閃爍顯示 beginif jin='1' thenra<=r1 or jin; -主干道紅燈點亮rb<=r2 or jin; -支干道紅燈點亮ga<=g1 and (not jin);gb<=g2 and (not jin);ya<=y1 and (not jin);yb<=y2 and (not jin);seg7(0)<=seg7_temp(0) and clk1hz; -實現數碼管閃爍顯示seg7(1)<=seg7_temp(1) and clk1hz;seg7(2)<=seg7_temp(2) and clk1h
27、z;seg7(3)<=seg7_temp(3) and clk1hz;seg7(4)<=seg7_temp(4) and clk1hz;seg7(5)<=seg7_temp(5) and clk1hz;seg7(6)<=seg7_temp(6) and clk1hz;elseseg7<=seg7_temp;ra<=r1;rb<=r2;ga<=g1;gb<=g2;ya<=y1;yb<=y2;end if;end process p4;3.5顯示模塊p5:process(clk1khz) -數碼管動態掃描計數-beginif clk
28、1khz'event and clk1khz='1' thenif cnt="01" then cnt<="00" else cnt<=cnt+1;end if;end if;end process p5;p6:process(cnt,one,ten) -數碼管動態掃描-begincase cnt iswhen "00"=>data<=one;scan<="01"when "01"=>data<=ten;scan<=&quo
29、t;10"when others=>null;end case;end process p6;p7:process(data) -7段譯碼-begincase data iswhen "0000" =>seg7_temp<="1000000" -0 when "0001" =>seg7_temp<="1111001" -1 when "0010" =>seg7_temp<="0100100" -2 when "00
30、11" =>seg7_temp<="0110000" -3 when "0100" =>seg7_temp<="0011001" -4 when "0101" =>seg7_temp<="0010010" -5 when "0110" =>seg7_temp<="0000010" -6 when "0111" =>seg7_temp<="1111000&qu
31、ot; -7 when "1000" =>seg7_temp<="0000000" -8 when "1001" =>seg7_temp<="0010000" -9 when others=>seg7_temp<="1001111"end case;end process p7;4.硬件電路設計與調試本系統的主要邏輯設計由一片EP2C8Q208芯片完成,編寫的VHDL源程序在Altera公司的邏輯綜合工具 Quartus下經過編譯和功能仿真測試后,針對下載芯片
32、進行管腳配置,下載到EP2C8Q208芯片中,進行相應的硬件調試,調試結果與軟件仿真的結果相吻合,驗證了設計完成了預定功能。以下是芯片引腳圖圖4和管腳配置圖圖 5: EP2C8Q208芯片圖4 引腳配置圖5三 實驗總結1.芯片的選取必須要于實驗箱相一致,否則將會有硬件無法連接的錯誤。2.引腳分配時要注意引腳的輸入輸出關系,否則將無法正常的進行數據傳輸3.我用的是睿智基于EP2C8Q208芯片的FPGA開發板,數碼管的硬件原理圖只用到16個管腳,程序邏輯上用到了位選和段選的思想。開始寫的程序直接對應每個數碼管的端口,配置時發現有問題。 本次設計利用硬件描述語言VHDL編程,借助Altera公司的
33、Quartus11.0軟件環境下進行了編譯及仿真測試,通過FPGA芯片實現了一個實用的交通信號燈控制系統,設計由于采用了EDA技術,不但大大縮短了開發研制周期,提高了設計效率,而且使系統具有設計靈活,實現簡單,性能穩定的特點。實現了交通信號燈的安全控制。參考文獻1孟慶輝EDA技術實用教程M國防工業出版社,2008:991672謝自美電子線路綜合設計(第二版)M華中科技大學出版社,20063馬玲 彭敏CPLD/FPGA設計及應用華中科技大學出版,2005.74俞一鳴Altera可編程邏輯器件的應用與設計M機械工業出版社,2007附錄一(程序代碼)library ieee;use ieee.std
34、_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity jiao_tong IS port ( clk:in std_logic;-50MHZ晶振時鐘jin:in std_logic;-禁止通行信號scan:out std_logic_vector(1 downto 0);seg7:out std_logic_vector(6 downto 0);-段選ra,ya,ga:out std_logic;-紅黃綠rb,yb,gb:out std_logic);-紅黃綠 end jiao_t
35、ong;architecture one of jiao_tong IS type states is (st1,st2,st3,st4); signal clk1khz,clk1hz:std_logic;-分頻信號1HZ和1KHZ signal one,ten:std_logic_vector(3 downto 0); signal cnt:std_logic_vector(1 downto 0); signal data:std_logic_vector(3 downto 0);-數碼管掃描計數信號 signal seg7_temp:std_logic_vector(6 downto 0)
36、; signal r1,r2,y1,y2,g1,g2:std_logic;begin p1:process(clk) variable count : integer range 0 to 4999; begin if clk='1'and clk'event then if count=4999 then clk1khz<=not clk1khz;count:=0; else count:=count+1; end if; end if; end process p1; p2:process (clk1khz) variable count : integer
37、range 0 to 2499; begin if clk1khz='1'and clk1khz'event then if count=2499 then clk1hz<=not clk1hz; count:=0; else count:=count+1; end if; end if; end process p2; p3: process(clk1hz) -交通狀態轉換 variable stx:states; variable a:std_logic;-倒計時賦值標志位 variable qh:std_logic_vector(3 downto 0);-計
38、數高位低位 variable ql:std_logic_vector(3 downto 0); begin if clk1hz'event and clk1hz='1' then-如果是上升沿 case stx is when st1=>if jin='1' then -狀態s1主干道通行35s if a='0' then qh:="0011"-3ql:="0100"-4a:='1'r1<='1'-110 011y1<='1'g1&l
39、t;='0'r2<='0'y2<='1'g2<='1'else if qh=0 and ql=1 then -如果倒計時結束,則轉到st2狀態 stx:=st2; a:='0' qh:="0000"-0 ql:="0000"-0elsif ql=0 then -實現倒計時35s ql:="1001"-低位減到9,高位自減1位 qh:=qh-1; else ql:=ql-1;-否則低位自減1 end if; end if;end if; w
40、hen st2=>if jin='1' then-狀態st2主干道黃燈倒計時5s if a='0' then qh:="0000"-0ql:="0100"-4sa:='1'r1<='1'-101 011y1<='0'-主干道黃燈點亮g1<='1'r2<='0'-支干道紅燈點亮y2<='1'g2<='1'else if ql=1 then-如果倒計時結束,則轉到st3狀態
41、stx:=st3; a:='0' qh:="0000" ql:="0000"else ql:=ql-1; end if; end if;end if; when st3=>if jin='1' then-狀態st3,支干道通行25s if a='0' then qh:="0010"-2ql:="0100"-4sa:='1'r1<='0'-主干道紅燈點亮 011 110y1<='1'g1<='
42、;1'r2<='1'y2<='1'g2<='0'-支干道綠燈點亮else if qh=0 and ql=1 then-如果倒計時結束轉到st4 stx:=st4; a:='0' qh:="0000" ql:="0000"elsif ql=0 then-實現倒計時25s ql:="1001" qh:=qh-1; else ql:=ql-1; end if; end if;end if; when st4=>if jin='1'
43、 then-狀態st4支干道黃燈倒計時5s if a='0' then qh:="0000"-0ql:="0100"-4sa:='1'r1<='0'-主干道紅燈點亮 011 101y1<='1'g1<='1'r2<='1'y2<='0'-支干道黃燈點亮g2<='1'else if ql=1 then-如果倒計時結束則轉到st1 stx:=st1; a:='0' qh:=&quo
44、t;0000" ql:="0000" else ql:=ql-1; end if; end if;end if;end case;end if;one<=ql;ten<=qh;end process p3;p4: process(clk1hz,jin,r1,r2,g1,g2,y1,y2,seg7_temp)-禁止通行begin if jin='0'then ra<=r1 and jin; -主干道紅燈點亮 rb<=r2 and jin; -支干道紅燈點亮 ga<=g1 or ( not jin); gb<=g2 or ( not jin); ya<=y1 or ( not jin); yb<=y2 or ( not jin); seg7(0)<=seg7_temp(0) and clk1hz;-實現數碼管閃爍顯示 seg7(1)<=seg7_temp(1) and clk1hz; seg7(2)<=seg7_temp(2) and clk1hz;
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經權益所有人同意不得將文件中的內容挪作商業或盈利用途。
- 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025【合同范本】設備互易合同
- 分包施工合同管理制度
- 退休人員活動策劃與組織協議
- 農業技術推廣用地租賃協議
- 聯合小型活動籌備協議
- 食材配送服務合同范本
- 跨境貿易供應鏈金融合作協議概要
- 銷售合同修訂流程
- 工業產品設計制造合作協議內容
- 彩繪藝術墻繪項目投資合作合同范本
- 內分泌科臨床路徑存在問題及整改措施
- 嵊泗縣洋山客運站工程環評報告
- 農家樂出租合同協議書
- 2025年北京海淀初三二模語文試題及答案
- 2025年保定市中考二模歷史試題及答案
- 泰國餐飲勞務合同協議書
- 廣東省五校聯考2024-2025學年高一下學期5月月考生物試題(有答案)
- 2025年四川甘孜州能源發展集團有限公司招聘筆試參考題庫附帶答案詳解
- 2024北京海淀區四年級(下)期末數學試題及答案
- 化工設計知到智慧樹章節測試課后答案2024年秋浙江大學
- 2025年江蘇鹽城市燕舞集團有限公司招聘筆試參考題庫含答案解析
評論
0/150
提交評論