EDA交通燈實驗報告.doc_第1頁
EDA交通燈實驗報告.doc_第2頁
EDA交通燈實驗報告.doc_第3頁
EDA交通燈實驗報告.doc_第4頁
EDA交通燈實驗報告.doc_第5頁
已閱讀5頁,還剩2頁未讀 繼續免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

實驗:交通燈設計 一、設計任務及要求:設計任務:模擬十字路口交通信號燈的工作過程,利用實驗板上的兩組紅、黃、綠LED作為交通信號燈,設計一個交通信號燈控制器。要求:(1) 交通燈從綠變紅時,有4秒黃燈亮的間隔時間;(2) 交通燈紅變綠是直接進行的,沒有間隔時間;(3) 主干道上的綠燈時間為40秒,支干道的綠燈時間為20秒;(4) 在任意時間,顯示每個狀態到該狀態結束所需的時間。 支干道 主干道圖1 路口交通管理示意圖ABC主干道交通燈綠(25秒)黃(5秒)紅(30秒)支干道交通燈紅(30秒)黃(5秒)綠(25秒)表1 交通信號燈的4種狀態設計要求:(1) 采用VHDL語言編寫程序,并在QuartusII工具平臺中進行仿真,下載到EDA實驗箱進行驗證。(2) 編寫設計報告,要求包括方案選擇、程序清單、調試過程及測試結果。二、設計原理 1、設計目的:學習DEA開發軟件和QuartusII的使用方法,熟悉可編程邏輯器件的使用。通過制作來了解交通燈控制系統,交通燈控制系統主要是實現城市十字交叉路口紅綠燈的控制2、設計說明(1)第一模塊:clk時鐘秒脈沖發生電路在紅綠燈交通信號系統中,大多數情況是通過自動控制的方式指揮交通的。因此為了避免意外事件的發生,電路必須給一個穩定的時鐘(clock)才能讓系統正常運作。模塊說明:系統輸入信號: Clk: 由外接信號發生器提供256的時鐘信號; 系統輸出信號: full:產生每秒一個脈沖的信號;(2)第二模塊:計數秒數選擇電路計數電路最主要的功能就是記數負責顯示倒數的計數值,對下一個模塊提供狀態轉換信號。模塊說明:系統輸入:full: 接收由clk電路的提供的1HZ的時鐘脈沖信號;系統輸出信號: tm: 產生顯示電路狀態轉換信號 tl:倒計數值 秒數個位變化控制信號 th:倒計數值 秒數十位變化控制信號(3)第三模塊:紅綠燈狀態轉換電路 本電路負責紅綠燈的轉換。模塊說明:系統輸入信號:full: 接收由clk電路的提供的1hz的時鐘脈沖信號; tm: 接收計數秒數選擇電路狀態轉換信號;系統輸出信號: comb_out: 負責紅綠燈的狀態顯示。 (4)第四模塊:時間顯示電路本電路負責紅綠燈的計數時間的顯示。模塊說明:系統輸入信號:tl:倒計數值 秒數個位變化控制信號; th:倒計數值 秒數十位變化控制信號;系統輸出信號: led7s1: 負責紅綠燈的顯示秒數個位。 led7s2: 負責紅綠燈的顯示秒數十位。3、 設計方案定時時間到檢測電路計時器預置數產生電路狀態發生器(兩位二進制計數器) 時間顯示數據輸出紅黃綠燈輸出控制電路(RYG) 紅黃綠燈信號輸出1秒時鐘脈沖信號發生器 圖2 交通信號燈控制器的原理框圖采用VHDL語言輸入的方式實現交通信號燈控制器秒脈沖信號發生器(進程P1和P2)狀態寄存器(進程P6)計數器(進程P3、P4和P5)CLK 時間顯示數據輸出 次態發生器信號燈輸出信號(進程P7) 信號燈輸出 圖3 交通信號燈控制器程序原理框圖該程序由7個進程組成,進程P1和P2將CLK信號分頻后產生1秒信號,進程P3、P4、P5構成兩個帶有預置數功能的十進制計數器,其中P4產生允許十位計數器計數的控制信號。進程P6實現狀態轉換和產生狀態轉換的控制信號,進程P7產生次態信號和信號燈輸出信號,以及每一個狀態的時間值。四、程序清單:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY traffic IS PORT (clk:in std_logic; led7s1:out std_logic_vector(6 downto 0); led7s2:out std_logic_vector(6 downto 0); comb_out:out std_logic_vector(5 downto 0); END;ARCHITECTURE one OF traffic ISTYPE dm IS (s0,s1,s2,s3); SIgnal current_state,next_state:dm; SIGNAL FULL : STD_LOGIC; SIGNAL tl :STD_LOGIC_VECTOR(6 DOWNTO 0); SIGNAL th:STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL tm :STD_LOGIC_VECTOR(6 DOWNTO 0); SIGNAL TIME :STD_LOGIC_VECTOR(6 DOWNTO 0);BEGIN P_REG: PROCESS(CLK) VARIABLE CNT8:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF CLKEVENT AND CLK=1 THEN IF CNT8 = 11111111 THEN CNT8:=01111111; FULL=1; ELSE CNT8 := CNT8+1; FULL = 0; END IF; END IF; END PROCESS P_REG;PROCESS(full)BEGINIF fullEVENT AND full=1 THEN IF TIME1000011 THEN TIME=TIME+1; ELSe TIME =0000000; END IF;END IF;END PROCESS; REG:process( full,current_state) BEGIN IF full=1 AND fullEVENT THEN current_statecomb_out=001100;tm=39-time; if time=39 then next_state=s1; else next_statecomb_out=010100;tm=43-time; if time=43 then next_state=s2; else next_statecomb_out=100010;tm=63-time; if time=63 then next_state=s3; else next_statecomb_out=100001;tm=67-time; if time=67 then next_state=s0; else next_state=30 THEN th=11;tl=20 THEN th=10;tl=10 THEN th=01;tl=tm-10;ELSE th=00;tlled7s1led7s1led7s1led7s1null; end case;case tl is when 0000000=led7s2led7s2led7s2led7s2led7s2led7s2led7s2led7s2led7s2led7s2null; end case; end process;end;五、電路及波形圖1.波形圖2引腳設置選擇的器件為cyclone系列的EP1C3T144芯片,引腳鎖定方法如下圖所示。將未使用的管腳設置為三態輸入(一定要設置,否則可能會損壞芯片)。本實驗只能用采用模式6下載調試,一切正常六、硬件測試及說明用實驗板上的6個LED作為交通信號燈,設計一個交通信號燈控制器。1、 交通燈從綠變紅時,有5秒黃燈亮的間隔時間;2、 交通燈紅變綠是直接進行的,沒有間隔時間;3、紅燈時間為30秒。綠燈時間為25秒。黃燈時間為5秒。七、實驗心得體會 通過這次課程設計,我進一步加深了對數字系統設計的了解。并進一步熟練了對QuartusII軟件的操作。在編寫程序的過程中,遇到了很多問題,使我發現自己以前學習上存在的不足。同時也掌握了做課程設計的一般流程,為以后的設計積累

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論