


版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
1、數字電路與邏輯設計實驗報告選題:電子沙漏的設計與實現班級:學號:姓名:序號:2014年11月12日一、任務要求:1、相關知識:沙漏是一種古老的計時工具,也是一種玩具。電子沙漏用發光二極管表示沙 粒,模擬沙漏的運動過程。電子沙漏會像真正的沙漏一樣,上部的沙粒(點亮的 發光二極管)一粒一粒往下掉,下部的沙粒一粒一粒堆起來。在結構上,兩組各16個發光二極管分別排列成為兩個三角形, 如圖1所示 其中:VDOVD15位于上部,排列成倒三角形;VDO'VD15'位于下部,排列成 正三角形。兩個三角形的頂尖相對,組成沙漏形狀。當上部有一個發光二極管熄 滅時,相應地下部就有一個發光二極管點亮,
2、模擬了沙粒的運動。oooooooo ooooooo oooooo ooooo oooo ooooo oooooo oooooooooooooooooooooo oooooo ooooo ooooo oooooo ooooooooooo oooo oooo ooo» oooooooooooo oeetoooo oooo圖1電子沙漏示意圖2、基本要求: 采用8*8雙色點陣顯示電子沙漏的開機界面,如圖 2所示。其中紅色 LED代表沙漏的上半部分沙粒 VDA VD15綠色LED代表沙漏的下半部分 VDO' VD15'。ooooooo oooooo ooooo oooo OOO
3、OO OOOOOO ooooooo卜 VDOVDL5:VB(r VD1 用圖2開機界面 用撥碼開關SW1模擬重力感應器。當SW偽低電平時,沙粒從VD(VD15 向VDO'VD15'移動;當SW偽高電平時,沙粒從 VDO'VD15'向VD(VD15移 動。 按鍵BTNO作為計時啟動停止按鍵,啟動后沙粒即可按照 SW1設定的方 向移動,以SW為低電平時為例,LED移動的順序與對應關系如圖3的116所 示(若SW偽高電平,則點陣顯示移動順序為161)。每顆沙粒的移動時間為1 秒,當移動到圖3的16時,若SW1仍為低電平,則保持沙粒不動,但計時繼續, 直到SW1的電平發
4、生變化或者BTNO計時停止。OOOOOOQO000000000000000000000000 ooosoooo00«««0 a*too QO999QQO 000*0000 00000000 oooooooo 0000000000*»0000000««0 y “00a ooe o o oo 00000000 oooooooo 00000000 00>*C)00«ooao««oCQ 00c oo« 0 C 00 coooocoo aooooooo oooooooo9 0 0 0 0 0 0 0
5、0 00001000000000000000000000000000000000 O»O0«*««00 oo»»»ooo oootoooo 00000000 oooooaoo oooooooooooooaoooo»»»cao 000*0000 aooooooo oooooooo O OOO O 0 00 0COOOOOOOoo»«»aoo 00040000 cooooooo cooooooo oootocoo 9000000000 oooooooo 00>01
6、000 OOOIQOOO 00000000 OOOSOOOO o*i*550000000000000000 ooooeooo oaotooao 00000000 oo*eooooDoooooooo 00000000 oooooaoo oooeooao 00000000 O O 00 "co o00000000 oooaocoo cooooooo oooooaoo 000*0000 a o*o oo«o圏3電LED移動順序示意聞 設計實現一個60秒計時器,當按鍵BTNO啟動時開始工作,用于在沙粒 移動過程中進行計時校準,并用數碼管 DISPO- DISP1顯示計時結果。3、提
7、高要求: 可以調節控制電子沙漏的流動速度。 用多種方式呈現電子沙漏界面。 自行設定沙粒的移動路徑,顯示每顆沙粒的移動過程。 外接重力感應器,實現真實的電子沙漏功能。 自擬其它功能。、系統設計:總體框圖:防抖模塊輸入時鐘W主程序譯碼模塊輸出譯碼數碼管、點陣顯示分塊設計: 輸入模塊:由btn按鈕和撥碼開關構成。采用序列存儲的方式存儲相應 的輸入。 輸入譯碼模塊:將輸入的符號序列轉化成先用狀態,以便選取對應的計 算方法;將輸入的8421bcd碼轉化成相應的兩位十進制數。 數碼管、點陣顯示模塊:將寄存器內的數進行輸出到數碼管上。 防抖模塊:在用按鍵輸入時,所得到的信號可能會有抖動,因此加入此抖動電路。
8、流程圖:幵始三、仿真波形及波形分析:點陣顯示采用行掃描模式kW口I彳 q pihM11?uti*1 alir0.En4A丿ftXQ. p 起G49.Q u恤甲lib】.茸堪LB gl.1£ 4i2.1 u匚時疋9u«?l 9M 5 Jl2卻i-.aTrnrr1廠SQ9 IhWi小t. ;燉l .t <l nc >HP!ZZ1C*50 ' *"訂-i s Ta11ILML«也L£_iTn_Li_ll_rF 門:jr-E*3Ir. 51廠-+tr.je0時''''L_Liyus茅L 13AL1r&
9、quot;i_一i.11_L廠*-1n_l_idJ聒->*lI1l 1L 1<LT聒L. QJA ia i.«l1 Erjtu-. 1.1 n-jiluj見"Tfc2H,tmi回 m trkt. tm“ J1-.tA.a1-111J_L廿科r. i免i丄.廠'11"''L_L15和JJd kICF 11-4k iJ-r ; | i ; i ;r-1012-.SI& _廠l_材-.2k r"i心H叫IA i一l11一L,町A 1jfk抽A. I四、源程序:-File Name :ShaLou.vhd-System
10、 :Quartus II 9.1-Author :Wang Ho ngjie 2012210176-Revisio n :Versio n 1.0,2014-11-3 library ieee;use ieee.std _lo gic_1164.all;en tity shalou is系統時鐘撥碼開關SW1控制沙粒移動方向 啟動暫停復位速度行掃描七段數碼管數碼管選擇port(clk:in std_logic;-sw:in std_logic;-bto:in std_logic;-bt1:in std_logic;-bt2:in std_logic;-lieh:out stdo gic_vec
11、tor(7 dow nto 0); -RED liel:out stdo gic_vector(7 dow nto 0); -GREEN han g:out std_logic_vector(7 dow nto 0);- a:out stdo gic_vector(6 dow nto 0);-cat:out std_logic_vector(5 dow nto 0);- end en tity;Architecture a of shalou issig nal temp:i nteger range 0 to 49999;sig nal clktmp:std_logic;sig nal cl
12、kout : std_logic;sig nal temp1:i nteger range 0 to 199;sig nal clktmp1:std_logic;sig nal clkout1 : std_logic;sig nal temp2:i nteger range 0 to 299;sig nal clktmp2:std_logic;sig nal clkout2 : std_logic;sig nal qt: in teger range 0 to 5;sig nal bto_tmp: std_logic;sig nal bto_out: std_logic;sig nal qt1
13、: in teger range 0 to 5;sig nal bto_tmp1: std_logic;sig nal bto_out1: std_logic;sig nal qt2: in teger range 0 to 5;sig nal bto_tmp2: std_logic;sig nal sudu:i nteger range 0 to 2;sig nal sudu_t:i nteger range 0 to 299;sig nal q:i nteger range 0 to 60;sig nal p:i nteger range 0 to 16;sig nal ge:i nteg
14、er range 0 to 9;sig nal shi:i nteger range 0 to 9;sig nal dz_k on gzhi:i nteger range 0 to 7;sig nal cat_k on gzhi:i nteger range 0 to 1;時鐘分頻beginp1:process(clk)-beginif clk'eve nt and clk='1' the nif temp=49999 then temp<=0;clktmp<=not clktmp;elsetemp<=temp+1;end if;end if;end
15、process p1;clkout<=clktmp;p2:process(clkout)-beginif clkout'eve nt and clkout='0' the n if temp仁199 then temp1<=0;clktmp1<= not clktmp1; elsetemp1<=temp1+1;end if;end if;end process p2;clkout1<=clktmp1;p3:process(clkout1)beginif clkout'eve nt and clkout='0' the
16、 nif cat_k on gzhi=0 the n cat_k on gzhi<=1;else cat_k on gzhi<=0;end if;if dz_k on gzhi=7 the n dz_k on gzhi<=0;else dz_k on gzhi<=dz_k on gzhi+1;end if;end if;end process p3;p4:process(clkout,qt,bto,qt1,bt1,qt2,bt2)beginif clkout'eve nt and clkout='0' the nif bto='1'
17、; the nif qt=5 the nelseqt<=qt+1;end if;if qt=4 the nbto_tmp<='1'elsebto_tmp<='0'end if;elseqt<=0;end if;end if;if clkout'eve nt and clkout='0' the nif bt1='1' the nif qt1=5 thenelseqt1<=qt1+1;end if;if qt1=4 thenbto_tmp1<='1:elsebto_tmp1<
18、='0:end if;elseqt1<=0;end if;end if;if clkout'eve nt and clkout='0' the nif bt2='1' the nif qt2=5 thenelseqt2<=qt2+1;end if;if qt2=4 thenbto_tmp2<='1'elsebto_tmp2<='0'end if;elseqt2<=0;end if;end if;end process;p5:process(bto_tmp,bto_tmp1)begini
19、f(bto_tmp'eve nt and bto_tmp='1')the n bto_out<=not bto_out;elsebto_out<=bto_out;end if;if(bto_tmp1'event and bto_tmp1='1')then bto_out1<=not bto_out1;elsebto_out1<=bto_out1;end if;if(bto_tmp2'event and bto_tmp2='1')then if(sudu=2) the n sudu<=0;els
20、e sudu<=sudu+1;end if;end if;end process;p6:process(sudu)begincase sudu iswhe n 0 =>sudu_t<=199;whe n 1 =>sudu_t<=99;when 2 =>sudu_t<=299;end case;end process;p7:process(clkout,sudu_t)-fen pinbeginif clkout'eve nt and clkout='0' the nif temp2=sudu_t the n temp2<=0
21、; clktmp2<= not clktmp2;elsetemp2<=temp2+1;end if;end if;end process ; clkout2<=clktmp2;-ji shu qip8:process(bto_out,clkout1,sw)beginif (bto_out='0') the nelsif(bto_out1='1') thenq<=O;p<=O;-q:miao zhong ji shu,p:dia nzhe n zhua ng tai ji shuelsif (q=60) thenelseif (clk
22、out1'eve nt and clkout仁'1' ) THEN q<=q+1;end if;if (clkout2'eve nt and clkout2='1' ) THEN if(sw='0' ) the nif(p<16) then p<=p+1;elseend if;elsif(sw='1' ) the n if(p>0) then p<=p-1;elseend if;end if;end if;end if;end process ; shi<=q/10; ge<
23、;=q rem 10;p9:process(cat_k on gzhi,ge,shi)beginif cat_k on gzhi=0 the ncat<="011111"case ge iswhe n 0 =>a<="1111110"-0 when 1 =>a<="0110000"-1whe n 2 =>a<="1101101"-2when 3 =>a<="1111001"-3whe n 4 =>a<="0110011&
24、quot;-4whe n 5 =>a<="1011011"-5 when 6 =>a<="1011111"-6 when 7 =>a<="1110000"-7 whe n 8 =>a<="1111111"-8 whe n 9 =>a<="1111011"-9 -when others =>a<="0000000"end case;elsif cat_k on gzhi=1 the n cat<=&q
25、uot;101111"case shi iswhe n 0 =>a<="1111110"-0 when 1 =>a<="0110000"-1 whe n 2 =>a<="1101101"-2 when 3 =>a<="1111001"-3 whe n 4 =>a<="0110011"-4 whe n 5 =>a<="1011011"-5 when 6 =>a<="10111
26、11"-6 when 7 =>a<="1110000"-7 whe n 8 =>a<="1111111"-8 whe n 9 =>a<="1111011"-9 -when others =>a<="0000000"end case;end if;end process ; p10:process(p)begincase p is when 0 => case dz_k on gzhi is when 0 =>ha ng<="011
27、11111" lieh<="11111110"liel<="00000000" whe n 1 =>ha ng<="10111111"lieh<="01111100"liel<="00000000" when 2 =>ha ng<="11011111"lieh<="00111000"liel<="00000000" when 3 =>hang<="
28、;11101111"lieh<="00010000"liel<="00000000" when 4 =>hang<="11110111"lieh<="00000000"liel<="00010000" when 5 =>ha ng<="11111011"lieh<="00000000"liel<="00111000" when 6 =>ha ng<=&qu
29、ot;11111101"lieh<="00000000"liel<="01111100" when 7 =>hang<="11111110"when others =>hang<="11111111"end case;when 1 =>case dz_k on gzhi iswhen 0 =>ha ng<="01111111"lieh<="11101110"liel<="00010000&q
30、uot; whe n 1 =>ha ng<="10111111"lieh<="01111100"liel<="00000000" when 2 =>ha ng<="11011111"lieh<="00111000"liel<="00000000" when 3 =>hang<="11101111"lieh<="00010000"liel<="0000000
31、0" when 4 =>hang<="11110111"lieh<="00000000"liel<="00010000" when 5 =>ha ng<="11111011"lieh<="00000000"liel<="00111000" when 6 =>ha ng<="11111101"lieh<="00000000"liel<="01111
32、100" when 7 =>hang<="11111110"lieh<="00010000"liel<="11101110"when others =>hang<="11111111" end case;whe n 2 =>case dz_k on gzhi iswhen 0 =>ha ng<="01111111"lieh<="11001110"liel<="00110000" w
33、he n 1 =>ha ng<="10111111"lieh<="01111100"liel<="00000000" when 2 =>ha ng<="11011111"lieh<="00111000"liel<="00000000" when 3 =>hang<="11101111"lieh<="00010000"liel<="00000000"
34、; when 4 =>hang<="11110111"lieh<="00000000"liel<="00010000" when 5 =>ha ng<="11111011"lieh<="00000000"liel<="00111000" when 6 =>ha ng<="11111101"lieh<="00000000"liel<="01111100&qu
35、ot; when 7 =>hang<="11111110"lieh<="00110000"liel<="11001110"when others =>hang<="11111111" end case;whe n 3 =>case dz_k on gzhi islieh<="00111000"liel<="00000000" when 3 =>hang<="11101111"lieh<
36、="00010000"liel<="00000000" when 4 =>hang<="11110111"lieh<="00000000"liel<="00010000" when 5 =>ha ng<="11111011"lieh<="00000000"liel<="00111000" when 6 =>ha ng<="11111101"lieh&l
37、t;="00000000"liel<="01111100" when 7 =>hang<="11111110"lieh<="00111000"liel<="11000110"when others =>hang<="11111111" end case;when 4 =>case dz_k on gzhi iswhen 0 =>ha ng<="01111111"lieh<="100
38、00110"liel<="01111000" whe n 1 =>ha ng<="10111111"lieh<="01111100"liel<="00000000" when 2 =>ha ng<="11011111"lieh<="00111000"liel<="00000000" when 3 =>hang<="11101111"lieh<="
39、00010000"liel<="00000000" when 4 =>hang<="11110111"lieh<="00000000"liel<="00010000" when 5 =>ha ng<="11111011"lieh<="00000000"liel<="00111000" when 6 =>ha ng<="11111101"lieh<=&quo
40、t;00000000"liel<="01111100" when 7 =>hang<="11111110"lieh<="01111000"liel<="10000110"when others =>hang<="11111111" end case;when 5 =>case dz_k on gzhi iswhen 0 =>ha ng<="01111111"lieh<="10000010&q
41、uot;liel<="01111100" whe n 1 =>ha ng<="10111111"when 4 =>hang<="11110111" lieh<="00000000"liel<="00010000"when 5 =>ha ng<="11111011"lieh<="00000000"liel<="00111000"when 6 =>ha ng<=&
42、quot;11111101"lieh<="00000000"liel<="01111100"when 7 =>hang<="11111110" lieh<="01111100"liel<="10000010"when others =>hang<="11111111"end case;when 6 =>case dz_k on gzhi iswhen 0 =>ha ng<="01111111
43、" lieh<="00000010"liel<="11111100"whe n 1 =>ha ng<="10111111"lieh<="01111100"liel<="00000000"when 2 =>ha ng<="11011111" lieh<="00111000"liel<="00000000"when 3 =>hang<="111011
44、11" lieh<="00010000"liel<="00000000"when 4 =>hang<="11110111" lieh<="00000000"liel<="00010000"when 5 =>ha ng<="11111011"lieh<="00000000"liel<="00111000"when 6 =>ha ng<="11111
45、101"lieh<="00000000"liel<="01111100"when 7 =>hang<="11111110" lieh<="11111100"liel<="00000010"when others =>hang<="11111111"end case;when 7 =>case dz_k on gzhi iswhen 0 =>ha ng<="01111111" lie
46、h<="00000000"liel<="11111110"whe n 1 =>ha ng<="10111111"lieh<="01111100"liel<="00000000"when 2 =>ha ng<="11011111" lieh<="00111000"liel<="00000000"when 3 =>hang<="11101111"li
47、eh<="00000000"liel<="01111100"when 7 =>hang<="11111110" lieh<="11111110"liel<="00000000"when others =>hang<="11111111"end case;whe n 8 =>case dz_k on gzhi iswhen 0 =>ha ng<="01111111" lieh<=&quo
48、t;00000000"liel<="11111110"whe n 1 =>ha ng<="10111111"lieh<="01101100"liel<="00010000"when 2 =>ha ng<="11011111"lieh<="00111000"liel<="00000000"when 3 =>hang<="11101111"lieh<=&quo
49、t;00010000"liel<="00000000"when 4 =>hang<="11110111"lieh<="00000000"liel<="00010000"when 5 =>ha ng<="11111011" lieh<="00000000"liel<="00111000"when 6 =>ha ng<="11111101"lieh<=&quo
50、t;00010000"liel<="01101100"when 7 =>hang<="11111110" lieh<="11111110"liel<="00000000"when others =>hang<="11111111"end case;whe n 9 =>case dz_k on gzhi iswhen 0 =>ha ng<="01111111"lieh<="00000000&q
51、uot;liel<="11111110"whe n 1 =>ha ng<="10111111"lieh<="01001100"liel<="00110000"when 2 =>ha ng<="11011111"lieh<="00111000"liel<="00000000"when 3 =>hang<="11101111"lieh<="00010000&q
52、uot;liel<="00000000"when 4 =>hang<="11110111" lieh<="00000000"liel<="00010000"when 5 =>ha ng<="11111011"end case;whe n 10 =>case dz_k on gzhi iswhen 0 =>ha ng<="01111111" lieh<="00000000"liel<=&
53、quot;11111110"whe n 1 =>ha ng<="10111111"lieh<="01000100"liel<="00111000"when 2 =>ha ng<="11011111" lieh<="00111000"liel<="00000000"when 3 =>hang<="11101111" lieh<="00010000"liel<
54、="00000000"when 4 =>hang<="11110111"lieh<="00000000"liel<="00010000"when 5 =>ha ng<="11111011"lieh<="00000000"liel<="00111000"when 6 =>ha ng<="11111101" lieh<="00111000"liel<
55、="01000100"when 7 =>hang<="11111110" lieh<="11111110"liel<="00000000"when others =>hang<="11111111"end case;when 11 =>case dz_k on gzhi iswhen 0 =>ha ng<="01111111" lieh<="00000000"liel<="1111
56、1110"whe n 1 =>ha ng<="10111111"lieh<="00000100"liel<="01111000"when 2 =>ha ng<="11011111" lieh<="00111000"liel<="00000000"when 3 =>hang<="11101111"lieh<="00010000"liel<="000
57、00000"when 4 =>hang<="11110111"lieh<="00000000"liel<="00010000"when 5 =>ha ng<="11111011"lieh<="00000000"liel<="00111000"when 6 =>ha ng<="11111101" lieh<="01111000"liel<="000
58、00100"when 7 =>hang<="11111110"when others =>hang<="11111111"end case;when 12 =>case dz_k on gzhi iswhen 0 =>ha ng<="01111111"lieh<="00000000"liel<="11111110" whe n 1 =>ha ng<="10111111"lieh<="0
59、0000000"liel<="01111100" when 2 =>ha ng<="11011111"lieh<="00111000"liel<="00000000" when 3 =>hang<="11101111"lieh<="00010000"liel<="00000000" when 4 =>hang<="11110111"lieh<="
60、00000000"liel<="00010000" when 5 =>ha ng<="11111011"lieh<="00000000"liel<="00111000" when 6 =>ha ng<="11111101"lieh<="01111100"liel<="00000000" when 7 =>hang<="11111110"lieh<=&quo
61、t;11111110"liel<="00000000"when others =>hang<="11111111" end case;whe n 13 =>case dz_k on gzhi iswhen 0 =>ha ng<="01111111"lieh<="00000000"liel<="11111110" whe n 1 =>ha ng<="10111111"lieh<="000000
62、00"liel<="01111100" when 2 =>ha ng<="11011111"lieh<="00101000"liel<="00010000" when 3 =>hang<="11101111"lieh<="00010000"liel<="00000000" when 4 =>hang<="11110111"lieh<="00000
63、000"liel<="00010000" when 5 =>ha ng<="11111011"lieh<="00010000"liel<="00101000" when 6 =>ha ng<="11111101"lieh<="01111100"liel<="00000000" when 7 =>hang<="11111110"lieh<="111
64、11110"liel<="00000000"when others =>hang<="11111111" end case;whe n 14 =>case dz_k on gzhi islieh<="00001000"liel<="00110000" when 3 =>hang<="11101111"lieh<="00010000"liel<="00000000" when 4 =>
65、;hang<="11110111"lieh<="00000000"liel<="00010000" when 5 =>ha ng<="11111011"lieh<="00110000"liel<="00001000" when 6 =>ha ng<="11111101"lieh<="01111100"liel<="00000000" when 7 =&
66、gt;hang<="11111110"lieh<="11111110"liel<="00000000"when others =>hang<="11111111" end case;whe n 15 =>case dz_k on gzhi iswhen 0 =>ha ng<="01111111"lieh<="00000000"liel<="11111110" whe n 1 =>ha ng&
67、lt;="10111111"lieh<="00000000"liel<="01111100" when 2 =>ha ng<="11011111"lieh<="00000000"liel<="00111000" when 3 =>hang<="11101111"lieh<="00010000"liel<="00000000" when 4 =>hang
68、<="11110111"lieh<="00000000"liel<="00010000" when 5 =>ha ng<="11111011"lieh<="00111000"liel<="00000000" when 6 =>ha ng<="11111101"lieh<="01111100"liel<="00000000" when 7 =>hang<="11111110"lieh<="11111110"liel<="0
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經權益所有人同意不得將文件中的內容挪作商業或盈利用途。
- 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 專題02《速算和巧算》原卷
- 2025年中國塑膠彈簧擺飾市場調查研究報告
- 專題02 工業的分布和發展 【專項訓練】-2020-2021學年七年級地理下學期期末專項復習中圖版(北京)(原卷版)
- 2024年機械工程師重要試題及答案匯編
- 持續進步電氣工程師考試試題及答案
- 2024年紡織機械操作證書的備考策略探討試題及答案
- 2025年中國玻璃及不銹鋼生產用滾筒市場調查研究報告
- 2025年中國泡沫砼市場調查研究報告
- 機械操作與技術成熟度考題及答案
- 機械工程師資格證書考試有效工具試題及答案
- 病理學心血管系統疾病課件
- (word完整版)使用Photoshop通道摳圖公開課教案
- 南京傳媒學院新聞傳播學院招聘網絡與新媒體教師模擬備考預測(自我提高共1000題含答案解析)檢測試卷
- EIM Book 1 Unit 10 Dont give up單元檢測試題
- 案件移送通知書回執
- JJG 1033-2007電磁流量計
- GB/T 629-1997化學試劑氫氧化鈉
- GB/T 5464-2010建筑材料不燃性試驗方法
- GB/T 3785.3-2018電聲學聲級計第3部分:周期試驗
- GB/T 28462-2012機織起絨合成革基布
- 接觸網工復習題庫及答案
評論
0/150
提交評論