數(shù)字頻率計(jì)設(shè)計(jì)_第1頁
數(shù)字頻率計(jì)設(shè)計(jì)_第2頁
數(shù)字頻率計(jì)設(shè)計(jì)_第3頁
數(shù)字頻率計(jì)設(shè)計(jì)_第4頁
數(shù)字頻率計(jì)設(shè)計(jì)_第5頁
已閱讀5頁,還剩48頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、 基于fpga的自適應(yīng)數(shù)字頻率計(jì)設(shè)計(jì) design of adaptive digital frequency meter based on fpga基于fpga的自適應(yīng)數(shù)字頻率計(jì)的設(shè)計(jì)基于fpga的自適應(yīng)數(shù)字頻率計(jì)的設(shè)計(jì) 摘 要本文運(yùn)用eda技術(shù)和pfga 技術(shù)設(shè)計(jì)基于fpga的自適應(yīng)數(shù)字頻率計(jì)系統(tǒng)。eda技術(shù)是現(xiàn)代電子設(shè)計(jì)技術(shù)的核心潮流,fpga的發(fā)展對eda 技術(shù)起到了巨大的推動作用。運(yùn)用hdl 語言,借助 eda 開發(fā)工具,在fpga上實(shí)現(xiàn)一個復(fù)雜系統(tǒng)的硬件電路功能具有設(shè)計(jì)靈活、高效、成本低、開發(fā)周期短的特點(diǎn)。文中首先概述eda技術(shù),硬件描述語言vhdl, fpga 技術(shù)及eda 開發(fā)

2、工具quartus ii,然后在幾種常用的數(shù)字頻率計(jì)的測量方法中選定直接測頻法作為設(shè)計(jì)算法原理,并根據(jù)直接測頻法原理建立數(shù)字頻率計(jì)的系統(tǒng)結(jié)構(gòu)框圖。接下來自頂向下把數(shù)字頻率計(jì)分成若干個功能模塊,對每一個模塊用 vhdl 語言描述并用 quartus ii 仿真,確定其功能正確實(shí)現(xiàn)后,再將各個模塊級聯(lián)起來構(gòu)成數(shù)字頻率計(jì)頂層電路,并對整個系統(tǒng)的進(jìn)行仿真。最后在實(shí)驗(yàn)箱上對整個系統(tǒng)進(jìn)行硬件測試。測試結(jié)果表明該數(shù)字頻率計(jì)的功能得到實(shí)現(xiàn),并且各項(xiàng)功能指標(biāo)符合設(shè)計(jì)要求。關(guān)鍵詞:數(shù)字頻率計(jì) 直接測頻法 vhdl fpgaabstractin this paper,a digital frequency mete

3、r based on fpga is designed by using eda technology.eda technology is the core of modern electronic technology,the development of fpga has played a tremendous role in promoting the development of eda technology.by using hdl and eda development tools, a complex hardware system on fpga can be designed

4、 with characteristics of design flexibility, high efficiency,low cost and short development cycle.in this paper,an overview of eda technology, hardware description language vhdl,fpga technology and eda development tools quartus ii is firstly given,then in several commonly used digital frequency mete

5、r measuring method,direct frequency measurement method is selected as the design algorithm.a system block diagram of the digital frequency meter is established based on the principle of direct measurement.the digital frequency meter is divided into several functional modules according to top-down me

6、thod.each module is described by vhdl and simulated by quartus ii.after determining its function correctly implemented,each module is connected together to form a digital frequency meter top-level circuit,then the whole system is simulated. finally,the entire system is tested on the experimental dev

7、elopment board.the test results show that the functions of digital frequency meter are realized,and the function parameters meet the design requirements.key words: digital frequency meter direct frequency measurement method vhdl fpga目錄第1章 緒論11.1 課題研究的目的及意義11.2 研究內(nèi)容及方法2第2章 eda與fpga概述32.1 eda技術(shù)概述32.2

8、fpga概述42.3 基于eda軟件的fpga開發(fā)流程4第3章 vhdl與quartus概述63.1 vhdl語言63.2 quartus ii軟件平臺6第4章 系統(tǒng)設(shè)計(jì)及組成原理84.1 基于fpga的數(shù)字頻率計(jì)的設(shè)計(jì)算法原理84.2 基于fpga的數(shù)字頻率計(jì)的系統(tǒng)組成原理9第5章 系統(tǒng)的實(shí)現(xiàn)及仿真115.1 信號放大整形電路115.2 數(shù)碼管顯示135.2 fpga功能模塊135.3.1 測頻控制信號發(fā)生器control175.3.2 數(shù)控偶數(shù)倍分頻器dvf185.3.3 bcd碼加法計(jì)數(shù)器cnt28195.3.4 數(shù)據(jù)鎖存器lock28205.3.5 自適應(yīng)器zishiying215.3

9、.6 數(shù)碼管掃描譯碼模塊led_scan245.3.7 分頻比重置器value275.4 數(shù)字頻率計(jì)系統(tǒng)仿真28第6章 系統(tǒng)硬件測試306.1 引腳鎖定306.2 編程下載316.3 測試結(jié)果32第7章 結(jié)束語33參考文獻(xiàn)34附錄a 外文資料翻譯36a.1 外文資料36a.2 中文翻譯41附錄b 其余程序45b.1 數(shù)控偶數(shù)倍分頻器dvf45b.2 bcd碼計(jì)數(shù)器cnt2846b.3 28位鎖存器lock2847b.4分頻比置數(shù)器value48第1章 緒論1.1 課題研究的目的及意義現(xiàn)代電子設(shè)計(jì)技術(shù)的核心已趨向基于計(jì)算機(jī)的電子設(shè)計(jì)自動化技術(shù),即eda(electronic design aut

10、omation)技術(shù)。eda技術(shù)就是以計(jì)算機(jī)為工具,設(shè)計(jì)者在eda軟件平臺上,對以硬件描述語言hdl(hardware description language)為系統(tǒng)邏輯描述手段完成設(shè)計(jì)文件,由計(jì)算機(jī)自動地完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局布線、邏輯優(yōu)化和仿真測試,直至對于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。eda技術(shù)的出現(xiàn),極大地提高了電路設(shè)計(jì)的效率和可操作性,減輕了設(shè)計(jì)者的勞動強(qiáng)度,這是電子設(shè)計(jì)技術(shù)的一大進(jìn)步。目前,以硬件描述語言hdl完成電路設(shè)計(jì),借助eda工具經(jīng)過簡單的綜合與布局,快速的燒錄至 fpga(fieldprogrammable gate array,

11、現(xiàn)場可編程門陣列)或者cpld(complex programmable logic device,復(fù)雜可編程邏輯器件)上進(jìn)行測試,是現(xiàn)代 ic 設(shè)計(jì)驗(yàn)證的技術(shù)主流。硬件描述語言hdl是eda技術(shù)的重要組成部分,常見的hdl主要有vhdl、verilog hdl、abel、ahdl、system verilog和systemc。本設(shè)計(jì)采用的是vhdl語言。vhdl的英文全名是vhsic(very high speed integrated circuit) hardware description language。vhdl具有與具體硬件電路無關(guān)和與設(shè)計(jì)平臺無關(guān)的特性,并且具有良好的電路行為描

12、述和系統(tǒng)描述的能力,并在語言易讀性和層次化,結(jié)構(gòu)化設(shè)計(jì)方面,表現(xiàn)了強(qiáng)大的生命力和應(yīng)用潛力。頻率是信號的一個基本參量,信號的頻率直接影響著電子系統(tǒng)的性能。測量信號頻率的工具,即頻率計(jì),是電子系統(tǒng)測量常用工具。頻率計(jì)是一種用十進(jìn)制數(shù)字顯示被測信號頻率的數(shù)字測量儀器1 楊龍麟. 電子測量技術(shù)(第3版)m.北京:人民郵電出版社,2009.。它的基本功能是測量正弦信號、方波信號、尖脈沖信號及其他各種單位時間內(nèi)變化的物理量。在傳統(tǒng)的電子測量儀器中,示波器在進(jìn)行頻率測量時測量精度較低,誤差較大。頻譜儀可以準(zhǔn)確的測量頻率并顯示被測信號的頻譜,但測量速度較慢,無法實(shí)時快速的跟蹤捕捉到被測信號頻率的變化。由于頻率

13、計(jì)能夠快速準(zhǔn)確的捕捉到被測信號頻率的變化,因此,頻率計(jì)擁有非常廣泛的應(yīng)用范圍。但是實(shí)際的硬件設(shè)計(jì)用到的器件較多,連線比較復(fù)雜,而且會產(chǎn)生較大的延時,造成的測量誤差、可靠性差。隨著fpga等可編程邏輯器件的發(fā)展,eda 技術(shù)配合開發(fā)軟件運(yùn)用hdl語言設(shè)計(jì)的方式改變了傳統(tǒng)電子設(shè)計(jì)實(shí)現(xiàn)時的器件多、可靠性性等缺點(diǎn),且它的開發(fā)周期短,開發(fā)成本低,在硬件電路不變時,通過修改源程序,便可增加新功能,實(shí)現(xiàn)數(shù)字系統(tǒng)硬件的軟件化,是現(xiàn)代電子設(shè)計(jì)技術(shù)的核心和潮流。1.2 研究內(nèi)容及方法本設(shè)計(jì)主要研究了基于fpga的自適應(yīng)數(shù)字頻率計(jì)系統(tǒng)的設(shè)計(jì)方案與實(shí)現(xiàn)過程。系統(tǒng)可以測量正弦波、方波、三角波等波形的頻率,測量頻率范圍為

14、010mhz,分為0999hz,1999.999khz,19.999999mhz三檔,并可自適應(yīng)換擋。為了實(shí)現(xiàn)上述設(shè)計(jì)功能及要求,數(shù)字頻率計(jì)系統(tǒng)應(yīng)分為外圍電路:信號放大整形電路和數(shù)碼管動態(tài)顯示模塊;核心模塊:fpga模塊。本設(shè)計(jì)除了對被測信號的整形部分和對測量值的數(shù)碼管顯示部分外,在 quartus軟件平臺上應(yīng)用 vhdl文本輸入設(shè)計(jì)方法實(shí)現(xiàn)fpga模塊的設(shè)計(jì)。其中涉及到在軟件平臺quartus ii環(huán)境下采用層次化的設(shè)計(jì)方法,首先通過vhdl語言來編寫程序,從而實(shí)現(xiàn)fpga部分的各個功能模塊,并對各個模塊進(jìn)行仿真。然后以例化語句完成頂層設(shè)計(jì),最后對整個系統(tǒng)進(jìn)行仿真。軟件部分功能驗(yàn)證正確后,下

15、載到以ep1c6q240c8芯片為核心的gw48eda_pk2/pk3實(shí)驗(yàn)箱上進(jìn)行測試。信號整形放大電路通過multisim軟件進(jìn)行設(shè)計(jì)及仿真。第2章 eda與fpga概述2.1 eda技術(shù)概述eda技術(shù)就是以計(jì)算機(jī)為工具,設(shè)計(jì)者在eda軟件平臺上,對以硬件描述語言hdl(hardware description language)為系統(tǒng)邏輯描述手段完成設(shè)計(jì)文件,由計(jì)算機(jī)自動地完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局布線、邏輯優(yōu)化和仿真測試,直至對于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。eda技術(shù)在硬件實(shí)現(xiàn)方面融合了大規(guī)模集成電路制造技術(shù)、ic 版圖設(shè)計(jì)、專用集成電路asic(a

16、pplication specific integrated circuit)測試和封裝、fpga/cpld編程下載和自動測試等技術(shù);在計(jì)算機(jī)輔助工程方面融合了計(jì)算機(jī)輔助設(shè)計(jì)cad(computer - aided design)、計(jì)算機(jī)輔助制造cam(computer-aided manufacturing)、計(jì)算機(jī)輔助測試cat(computer aided translation)、計(jì)算機(jī)輔助工程cae(computer aided engineering)以及多種計(jì)算機(jī)語言的設(shè)計(jì)概念;而在現(xiàn)代電子學(xué)方面則容納了更多的內(nèi)容,如電子線路設(shè)計(jì)理論、數(shù)字信號處理技術(shù)、數(shù)字系統(tǒng)建模和優(yōu)化技術(shù)及其

17、高頻的長線技術(shù)理論等2 潘松,趙敏笑. eda技術(shù)及其應(yīng)用m.北京:科學(xué)出版社,2007.2。回顧近 30 年電子設(shè)計(jì)技術(shù)的發(fā)展歷程,可將 eda 技術(shù)分為三個階段:七十年代為 cad 階段,人們用計(jì)算機(jī)輔助進(jìn)行 ic 版圖編輯、pcb 布局布線,取代了手工操作,產(chǎn)生了計(jì)算機(jī)輔助設(shè)計(jì)的概念;八十年代為 cae 階段,與 cad 相比,除了純粹的圖形繪制功能外,又增加了電路功能設(shè)計(jì)和結(jié)構(gòu)設(shè)計(jì),并且通過電氣連接網(wǎng)絡(luò)表將兩者結(jié)合在一起,實(shí)現(xiàn)了工程設(shè)計(jì);九十年代為 esda 階段,人們開始追求貫徹整個設(shè)計(jì)過程的自動化,這就是 esda 即電子系統(tǒng)設(shè)計(jì)自動化。傳統(tǒng)的電子設(shè)計(jì)的基本思路是選擇標(biāo)準(zhǔn)集成電路“

18、自底向上”的構(gòu)造出一個新的系統(tǒng)。這樣的設(shè)計(jì)方法就如同一磚一瓦建造金字塔,不僅效率低、成本高而且容易出錯。esda 代表了當(dāng)今電子設(shè)計(jì)技術(shù)的最新發(fā)展方向,它的基本特征是:設(shè)計(jì)人員按照“自頂向下”的設(shè)計(jì)方法,首先從系統(tǒng)設(shè)計(jì)入手,在頂層進(jìn)行功能方框圖的劃分和結(jié)構(gòu)設(shè)計(jì);在方框圖一級進(jìn)行仿真、糾錯,并用硬件描述語言對高層次的系統(tǒng)行為進(jìn)行描述,在系統(tǒng)一級進(jìn)行驗(yàn)證;然后用綜合優(yōu)化工具生成具體門電路的網(wǎng)表,其對應(yīng)的物理實(shí)現(xiàn)級可以是印刷電路板或?qū)S眉呻娐贰S捎谠O(shè)計(jì)的主要仿真和調(diào)試過程是在高層次上完成的,有利于早期發(fā)現(xiàn)結(jié)構(gòu)設(shè)計(jì)上的錯誤,避免設(shè)計(jì)工作的浪費(fèi),同時也減少了邏輯功能仿真的工作量,提高了設(shè)計(jì)的一次成功率

19、3 張彩珍. eda技術(shù)及實(shí)踐m. 成都:西南交通大學(xué)出版社,2011.。2.2 fpga概述fpga和cpld可編程邏輯器件代表了現(xiàn)今最先進(jìn)和最熱門的 eda 技術(shù)的發(fā)展方向。現(xiàn)代電子產(chǎn)品的復(fù)雜度日益加深,這就帶來了體積大、功耗大、可靠性差的問題。解決這一問題的有效方法就是采用 asic(application specific integrated circuits-專用集成電路)芯片進(jìn)行設(shè)計(jì)。asic 按照設(shè)計(jì)方法的不同可分為:全定制asic,半定制 asic,可編程 asic(即可編程邏輯器件pld)。全定制 asic 和半定制 asic都是約束性的設(shè)計(jì)方法,其主要過程是先由設(shè)計(jì)師進(jìn)行

20、設(shè)計(jì)和定義,再由廠家完成 ic 制作。pld 則與上述兩種設(shè)計(jì)方法不同:設(shè)計(jì)人員完成版圖設(shè)計(jì)后,在實(shí)驗(yàn)室內(nèi)就可以燒制出自己的芯片,無須 ic 廠家的參與,大大縮短了開發(fā)周期4 simpson, philip. fpga designm. germany: springer science+business media, llc, 2010.4。近年來,隨著集成電路的深亞微米制造技術(shù)和設(shè)計(jì)技術(shù)的迅速發(fā)展,集成電路進(jìn)入了片上系統(tǒng)(soc-system on a chip)時代。它在單一芯片上集成了數(shù)字電路、模擬電路、信號采集和轉(zhuǎn)換電路、存儲器、mpu、mcu、dsp、mpeg 等,實(shí)現(xiàn)了過去需要多

21、片集成電路才能完成的功能。隨著 soc 技術(shù)的發(fā)展,也出現(xiàn)了面向 soc 的 pld。如 xilinx 公司推出的 virtex 系列、altera 公司推出的 apex20k 系列集成度都達(dá)到了百萬門乃至千萬門以上,有的soc pld還專門集成了 cpu。隨著 pld 技術(shù)和器件性能的不斷提高,pld器件尤其是fpga 器件,已成為現(xiàn)代高層次電子設(shè)計(jì)方法的首選實(shí)現(xiàn)載體。本系統(tǒng)在以cyclone公司出產(chǎn)的型號為 ep1c6q240c8的fpga芯片為核心的gw48eda_pk2/pk3實(shí)驗(yàn)箱上進(jìn)行硬件測試。2.3 基于eda軟件的fpga開發(fā)流程完整地了解利用eda技術(shù)進(jìn)行設(shè)計(jì)開發(fā)的流程對于正

22、確地選擇和實(shí)驗(yàn)eda軟件、優(yōu)化設(shè)計(jì)項(xiàng)目、提高設(shè)計(jì)效率十分有益,一個完整的,典型的eda設(shè)計(jì)流程既是自頂向下設(shè)計(jì)方法的具體實(shí)施途徑,也是eda工具軟件本身的組成結(jié)構(gòu)。fpga 的eda開發(fā)流程如圖2-1所示,其具體步驟如下5 潘松,黃繼業(yè).eda技術(shù)與vhdlm.北京:清華大學(xué)出版社,2000.5:(1)設(shè)計(jì)輸入。設(shè)計(jì)輸入包括圖形輸入和硬件描述語言文本輸入。圖形輸入通常包括狀態(tài)圖輸入、波形圖輸入和原理圖輸入等方法。硬件描述語言文本輸入與傳統(tǒng)的計(jì)算機(jī)軟件語言編輯輸入基本一致,就是將使用了某種硬件描述語言的電路設(shè)計(jì)文本,如vhdl,進(jìn)行編輯輸入。(2)綜合。綜合就其字面含義應(yīng)該為把抽象的實(shí)體結(jié)合成單

23、一或統(tǒng)一的實(shí)體。因此,綜合就是把某些東西結(jié)合到一起,把設(shè)計(jì)抽象層次中的一種表述轉(zhuǎn)化成另外一種表述的過程。對于電子設(shè)計(jì)領(lǐng)域的綜合概念可以表示為:將用行為和功能層次表達(dá)的電子系統(tǒng)轉(zhuǎn)換為低層次的便于具體實(shí)現(xiàn)的模塊組合裝配而成的過程。圖2-1 fpga 的eda開發(fā)流程fpga/cpld器件和電路系統(tǒng)vhdl文本/原理圖綜合時序與功能門級仿真fpga/cpld 編程下載fpga/cpld適配圖 (3)布線布局。布線布局也稱為適配。適配器也稱結(jié)構(gòu)綜合器,它的功能是將由綜合器產(chǎn)生的網(wǎng)表文件配置于指定的目標(biāo)器件中,使之產(chǎn)生最終的下載文件。適配器選定的目標(biāo)器件必須屬于原綜合器指定的目標(biāo)器件系列。適配完成后可以

24、對適配產(chǎn)生的仿真文件進(jìn)行精確的時序仿真,同時產(chǎn)生可用于編程的文件。(4)仿真。在編程下載前必須利用eda工具對適配生成的結(jié)果進(jìn)行模擬測試,就是所謂的仿真。仿真就是讓計(jì)算機(jī)根據(jù)一定的算法和一定的仿真庫對eda設(shè)計(jì)進(jìn)行模擬,以驗(yàn)證設(shè)計(jì),排除錯誤。(5)下載和硬件測試。把適配后產(chǎn)生的下載或配置文件,通過編程器或編程電纜向fpga或cpld進(jìn)行下載,以便進(jìn)行硬件調(diào)試驗(yàn)證。第3章 vhdl與quartus概述3.1 vhdl語言硬件描述語言hdl是一種用于設(shè)計(jì)硬件電子系統(tǒng)的計(jì)算機(jī)語言,它用軟件編程的方式來描述電子系統(tǒng)的邏輯功能、電路結(jié)構(gòu)和連接形式,與傳統(tǒng)的門級描述方式相比,它更適合大規(guī)模系統(tǒng)尤其可編程邏

25、輯器件的設(shè)計(jì)。隨著 eda 技術(shù)的發(fā)展,使用硬件語言設(shè)計(jì) cpld或fpga 成為一種趨勢。目前最主要的硬件描述語言是 vhdl 和 verilog hdl。vhdl 發(fā)展的較早,語法嚴(yán)格,而 verilog hdl 是在 c 語言的基礎(chǔ)上發(fā)展起來的一種硬件描述語言,語法較自由。vhdl 和verilog hdl 兩者相比,vhdl 的書寫規(guī)則比 verilog 煩瑣一些,但 verilog 自由的語法也容易讓少數(shù)初學(xué)者出錯6 frank vahid. digital design, with rtl design, vhdl, and verilog m.us:wiley,2011.6。本文

26、主要采用 vhdl 編寫程序。vhdl具有與具體硬件電路無關(guān)和與設(shè)計(jì)平臺無關(guān)的特性,并且具有良好的電路行為描述和系統(tǒng)描述的能力,并在語言易讀性和層次化,結(jié)構(gòu)化設(shè)計(jì)方面,表現(xiàn)了強(qiáng)大的生命力和應(yīng)用潛力。因此,vhdl在支持各種模塊的設(shè)計(jì)方法,如自頂向下與自底向上或混合方法方面,以及在面對當(dāng)今許多電子產(chǎn)品生命周期的縮短,需要多次重新設(shè)計(jì)以融入最新技術(shù),改變工藝等方面都表現(xiàn)出了良好的適應(yīng)性。用vhdl進(jìn)行電子系統(tǒng)設(shè)計(jì)的一個很大的優(yōu)點(diǎn)是設(shè)計(jì)者可以專心致力于其功能的實(shí)現(xiàn),而不需要對不影響功能的于工藝有關(guān)的因素花費(fèi)過多的時間和精力。3.2 quartus ii軟件平臺目前使用比較廣泛的 pfga 開發(fā)工具有

27、 altera 公司的 max+plusii 和quartus , xilinx 公 司 的 foundation 和 ise , lattice 公 司 的 isp synario 和isplever,以及其他由第三方公司開發(fā)的平臺。本系統(tǒng)采用的仿真平臺為quartus,因此下面主要介紹一下 altera 公司的 quartus ii 平臺。quartus應(yīng)用開發(fā)工具提供完整的多平臺設(shè)計(jì)環(huán)境,它可以輕易滿足特定設(shè)計(jì)的需要,是可編程片上系統(tǒng)( sopc) 設(shè)計(jì)的綜合性環(huán)境。它可在個人計(jì)算機(jī)或unix / linux 工作站上使用,提供廣泛的器件支持庫、高速的編譯效率、較好的圖形界面和便捷的仿真

28、平臺,大大簡便了整個設(shè)計(jì)過程,做到真正的快速 cpld/fpga應(yīng)用開發(fā)。quartus設(shè)計(jì)軟件提供了完全集成且與電路結(jié)構(gòu)無關(guān)的開發(fā)包環(huán)境,可進(jìn)行層次化的工程設(shè)計(jì),不同的功能模塊上選擇不同的源文件輸入方式可設(shè)計(jì)出不同的底層功能模塊,然后再把這些模塊用元件例化語句組裝起來,便可生成具有一定功能的器件,設(shè)計(jì)自由,操作方便7 王輝,殷穎,陳婷. max+plus 和quartus 應(yīng)用與開發(fā)技巧m.北京:機(jī)械工業(yè)出版社,2007.7。quartus ii 設(shè)計(jì)方法有 hdl 文本輸入方式,原理圖輸入方式,狀態(tài)圖輸入方式以及混合輸入方式。本設(shè)計(jì)采用的是hdl文本輸入方式,基于quartus ii的hd

29、l文本輸入設(shè)計(jì)流程如下:(1)創(chuàng)建工程準(zhǔn)備工作。任何一項(xiàng)設(shè)計(jì)都是一項(xiàng)工程,都必須首先為此工程建立一個放置與此工程相關(guān)的所有設(shè)計(jì)文件的文件夾。(2)創(chuàng)建工程。在此要利用new project wizard工具選項(xiàng)創(chuàng)建此設(shè)計(jì)工程,并設(shè)定此工程的一些相關(guān)信息,如工程名、目標(biāo)器件、綜合器、仿真器等。(3)編譯前設(shè)置。在對工程編譯處理前,必須做好必要的設(shè)置。(4)全程編譯。quartus ii編譯器是由一系列處理模塊構(gòu)成的,這些模塊復(fù)雜對設(shè)計(jì)項(xiàng)目的檢錯、邏輯綜合、結(jié)構(gòu)綜合、輸出結(jié)果的編輯配置,即時序分析。(5)時序仿真。工程通過編譯后,必須對其功能和時序性質(zhì)進(jìn)行仿真測試,以了解設(shè)計(jì)結(jié)果是否滿足原設(shè)計(jì)要求

30、。(6)觀察rtl電路圖。quartus ii可實(shí)現(xiàn)硬件描述語言或網(wǎng)表文件對應(yīng)的rtl電路圖的生成。(7)引腳鎖定和下載。為了能對設(shè)計(jì)進(jìn)行硬件測試,應(yīng)將其輸入輸出信號鎖定在芯片確定的引腳上,將編譯產(chǎn)生的sof格式配置文件下載進(jìn)fpga中。當(dāng)硬件測試完成后,還必須對配置芯片進(jìn)行編程,完成fpga的最終開發(fā)。第4章 系統(tǒng)設(shè)計(jì)及組成原理4.1 基于fpga的數(shù)字頻率計(jì)的設(shè)計(jì)算法原理頻率測量方法主要有以下三種:(1)直接測頻法這個方法又稱 m 法。其基本原理為在一個單位時間t內(nèi)計(jì)數(shù)被測信號的上升沿或下降沿的個數(shù)n。根據(jù)公式4-1即可求得被測信號頻率: (4-1)如果單位時間t的長度為1s,則被測信號的

31、頻率就是n,單位為hz。這個方法的優(yōu)點(diǎn)是過程簡單,計(jì)算量少,計(jì)數(shù)值就是被測信號的頻率。誤差主要來源于單位時間t是否精確,計(jì)數(shù)個數(shù)存在的誤差。這一誤差對低頻信號影響較大,對高頻信號的影響可忽略。(2)等精度測頻法這個方法又稱 m/t 法。其基本原理為是在直接測頻法的基礎(chǔ)上改進(jìn)而來的,閘門信號的產(chǎn)生分為兩個步驟:首先由標(biāo)準(zhǔn)時鐘產(chǎn)生一個預(yù)設(shè)閘門信號,然后用被測信號同步預(yù)設(shè)閘門信號產(chǎn)生實(shí)際閘門信號。標(biāo)準(zhǔn)時鐘和被測信號在實(shí)際閘門內(nèi)進(jìn)行計(jì)數(shù)得到和,標(biāo)準(zhǔn)時鐘的頻率為。根據(jù)公式4-2可求得被測信號的頻率: (4-2)這個方法在測低頻信號時,精度比直接測頻法有所改進(jìn);但是對高頻信號特別是頻率比標(biāo)準(zhǔn)時鐘高的信號效

32、果不會有很大改善。(3)周期測頻法這個方法又稱 m 法。其基本原理為被測信號作為閘門信號,而將頻率較高的標(biāo)準(zhǔn)頻率信號作為填充脈沖進(jìn)行計(jì)數(shù)。設(shè)標(biāo)準(zhǔn)頻率信號的頻率為,在一個閘門時間內(nèi)計(jì)數(shù)值為n ,則根據(jù)公式4-3可得到被測信號的頻率: (4-3)這種方法的優(yōu)點(diǎn)是測量時間快,最短只需要被測信號的一個周期;缺點(diǎn)是需要進(jìn)行倒數(shù)運(yùn)算,即除法運(yùn)算。誤差主要是時間間隔t依賴標(biāo)準(zhǔn)時鐘的精度;計(jì)數(shù)時間間隔也存在1的誤差;除法運(yùn)算也存在一定的誤差。這些誤差對低頻信號影響小,對高頻信號影響大,特別是當(dāng)被測信號的頻率大于標(biāo)準(zhǔn)信號時這種方法幾乎不能使用8 劉福奇,劉波.verilog hdl應(yīng)用程序設(shè)計(jì)實(shí)例精解m. 北京

33、:電子工業(yè)出版社,2009.8。本設(shè)計(jì)采用的是直接測頻法,取閘門時間為1s。由公式4-1可知,當(dāng)t取1s時,也就是計(jì)數(shù)器的計(jì)數(shù)值即被測頻率。t除了通常取1s外,根據(jù)被測信號的范圍也可以取0.1s、0.01s或幾秒,這樣求得的n值必須乘以10、100或除以若干倍后,才能得到所求的。閘門時間越長,得到的頻率值就越準(zhǔn)確,但每次測量的間隔時間就越長。閘門時間越短,測量的頻率值刷新就越快,但測得的頻率精度會受影響。4.2 基于fpga的數(shù)字頻率計(jì)的系統(tǒng)組成原理基準(zhǔn)信號待測信號fpga信號放大整形電路數(shù)碼管顯示基于fpga的數(shù)字頻率計(jì)系統(tǒng)的原理框圖如圖4-1所示,系統(tǒng)主要包括:信號放大整形電路,fpga部

34、分和數(shù)碼管顯示部分。正弦波、方波、三角波等被測信號通過信號整形電路得到同頻率的矩形波以便于對頻率的測量,運(yùn)行于fpga的各功能模塊完成頻率的測量,并根據(jù)頻率所處的檔位將測量結(jié)果輸出給數(shù)碼管顯示部分動態(tài)顯示。其中信號整形電路和數(shù)碼管顯示部分等外圍電路比較簡單,fpga部分是整個系統(tǒng)的核心9 陳尚志,胡榮強(qiáng),胡合松. 基于fpga自適應(yīng)數(shù)字頻率計(jì)的設(shè)計(jì)j.中國測試技術(shù),2:141144.9。圖4-1 基于fpga的數(shù)字頻率計(jì)的原理框圖為了實(shí)現(xiàn)頻率測量功能及設(shè)計(jì)要求,fpga部分應(yīng)包括分頻器,測頻控制信號發(fā)生器,計(jì)數(shù)器,鎖存器,自適應(yīng)模塊,分頻比重置器,數(shù)碼管掃描譯碼模塊。這些功能都采用vhdl語言

35、編程并下載到fpga實(shí)現(xiàn)。fpga部分的系統(tǒng)工作原理如圖4-2所示。基準(zhǔn)信號經(jīng)過分頻器得到測頻控制信號發(fā)生器需要的頻率為1hz的時鐘信號和數(shù)碼管動態(tài)顯示需要的掃描時鐘信號,測頻控制信號發(fā)生器在1hz的時鐘信號的控制下輸出計(jì)數(shù)器控制信號和鎖存器控制信號。分頻比重置器在分頻器的溢出信號下提供預(yù)置數(shù)保持分頻器的分頻比。計(jì)數(shù)器在計(jì)數(shù)器控制信號的控制下對經(jīng)過信號整形電路得到的與待測信號同頻率的矩形波的上升沿進(jìn)行計(jì)數(shù),計(jì)數(shù)完成后,鎖存器在鎖存控制信號的控制下將計(jì)數(shù)結(jié)果鎖存。這一計(jì)數(shù)值即為待測信號的頻率。自適應(yīng)模塊根據(jù)計(jì)數(shù)值決定檔位,并為數(shù)碼管掃描譯碼模塊提供檔位信號及相應(yīng)檔位計(jì)數(shù)值的bcd碼。數(shù)碼管掃描譯

36、碼模塊輸出8位數(shù)碼管的位選信號和相應(yīng)的每個數(shù)碼管的八段段碼,實(shí)現(xiàn)測量結(jié)果的動態(tài)顯示。由于頻率檔位分為0999hz,1999.999khz,19.999999mhz三檔。,為了區(qū)分檔位,可以通過數(shù)碼管的顯示區(qū)分檔位。在0999hz時,第一位數(shù)碼管顯示 分頻器待測信號基準(zhǔn)信號分頻器測頻控制信號發(fā)生器計(jì)數(shù)器鎖存器自適應(yīng)模塊數(shù)碼管掃描譯碼模塊分頻比重置器a,單位為hz; 在1999.999khz時,第一位數(shù)碼管顯示b,單位為khz; 在19.999999mhz時,第一位數(shù)碼管顯示c,單位為mhz。圖4-2 fpga組成原理框圖第5章 系統(tǒng)的實(shí)現(xiàn)及仿真5.1 信號放大整形電路(1)信號放大整形電路設(shè)計(jì)本

37、設(shè)計(jì)可以測量正弦波、方波、三角波等波形,為了便于測量需要對被測周期信號進(jìn)行放大整形,使之成為矩形脈沖。如圖5-1所示的信號放大整形電路主要由晶體管2n3391和與非門74ls00組成。其中晶體管2n3391組成的分壓式工作點(diǎn)穩(wěn)定放大電路將輸入的被測信號進(jìn)行放大,調(diào)節(jié)滑動變阻器可以改變放大倍數(shù)。與非門 74ls00 構(gòu)成的施密特觸發(fā)器對放大器的輸出信號進(jìn)行整形,使之成為矩形脈沖。與非門u2和u3組成基本rs觸發(fā)器,u1實(shí)質(zhì)是一個非門,二極管d1起電平偏移作用,它導(dǎo)通時的壓降約為0.7v10 門宏.施密特觸發(fā)器及其應(yīng)用j.無線電雜志,12:5456.10。圖5-1 信號放大整形電路由于靜態(tài)工作點(diǎn)與

38、放大電路技術(shù)性能的穩(wěn)定密切相關(guān),所以采用分壓式靜態(tài)工作點(diǎn)穩(wěn)定電路,這是一種結(jié)構(gòu)比較簡單,并能有效地保持靜態(tài)工作點(diǎn)穩(wěn)定的電路。為了保證靜態(tài)工作點(diǎn)的基本穩(wěn)定,要求流過分壓電阻的電流,為此要求電阻、小一些,但是若、太小,則電阻上消耗的功率將增大,而且放大電路的輸入電阻將降低。在實(shí)際工作在通常取,而且使11 楊素行.模擬電子技術(shù)基礎(chǔ)簡明教程m.北京:高等教育出版社,2009. 11。門電路有一個閾值電壓,當(dāng)輸入電壓從低電平上升到閾值電壓或從高電平下降到閾值電壓時電路的狀態(tài)將發(fā)生變化。施密特觸發(fā)器與普通的門電路不同,它有兩個閾值電壓,分別稱為正向閾值電壓和負(fù)向閾值電壓。在輸入信號從低電平上升到高電平的過

39、程中使電路狀態(tài)發(fā)生變化的輸入電壓稱為正向閾值電壓,在輸入信號從高電平下降到低電平的過程中使電路狀態(tài)發(fā)生變化的輸入電壓稱為負(fù)向閾值電壓。施密特觸發(fā)器在電路狀態(tài)轉(zhuǎn)換過程中,通過電路內(nèi)部的正反饋?zhàn)饔檬馆敵鲭妷翰ㄐ蔚倪呇刈兊煤芏浮@眠@些特性可以把邊沿變化緩慢的周期性信號變換為邊沿很陡的同等頻率的矩形脈沖信號。但是如果輸入電壓小于施密特觸發(fā)器的正向閾值電壓,則無法正常進(jìn)行電路狀態(tài)轉(zhuǎn)換對信號進(jìn)行整形。在施密特觸發(fā)器前面加上由晶體管構(gòu)成的放大電路可以確保信號整形的正常工作。 (2)信號放大整形電路仿真在multisim7中對上述電路進(jìn)行仿真,仿真結(jié)果如圖5-2和5-3所示。圖中正弦波和三角波通過放大整形電

40、路后都被整為同頻率的矩形波,可見上述放大整形電路符合設(shè)計(jì)要求。圖5-2 正弦波整形為同頻率矩形波圖5-3 三角波整形為同頻率的矩形波5.2 數(shù)碼管顯示根據(jù)數(shù)字頻率計(jì)組成原理可知,數(shù)碼管顯示模塊應(yīng)選用8個共陰極八段 led 數(shù)碼管進(jìn)行動態(tài)顯示,fpga中的數(shù)碼管掃描譯碼模塊為數(shù)碼管顯示提供位選信號及八段段碼。所謂的八段就是指數(shù)碼管里有a,b,c,d,e,f,g,h八個led發(fā)光二極管。數(shù)碼管又分為共陰極和共陽極兩種類型,其實(shí)共陰極就是將八個led的陰極連在一起接地,給任何一個led的另一端高電平便能將其點(diǎn)亮,這樣通過控制不同的led的亮滅便能顯示出不同的字形。如果按照傳統(tǒng)的數(shù)碼管驅(qū)動方式,即靜態(tài)

41、掃描方式,則需要多個譯碼器進(jìn)行驅(qū)動,這樣既浪費(fèi)資源,有時電路工作也不可靠。所以現(xiàn)在最常見的數(shù)碼管驅(qū)動電路都采用動態(tài)掃描顯示的方式 12 邱鋒波,賀占莊. 基于fpga的并行數(shù)碼管顯示控制設(shè)計(jì)j. 科學(xué)技術(shù)與工程,23:71707172.12。 k1k2k3k4k5k6k7k8a b c d e f g h圖5-4 動態(tài)數(shù)碼管掃描顯示原理圖動態(tài)數(shù)碼管掃描顯示的硬件電路原理如圖5-4所示。其中每個數(shù)碼管的8 個段都分別連在一起,8個數(shù)碼管分別由8個選通信號k1、k2、k3、k4、k5、k6、k7、k8 來選擇。被選通的數(shù)碼管顯示數(shù)據(jù),其余關(guān)閉。如在某一時刻,k3 為高電平,其余選通信號為低電平,這

42、時僅k3 對應(yīng)的數(shù)碼管顯示來自段信號端的數(shù)據(jù),而其它7 個數(shù)碼管呈現(xiàn)關(guān)閉狀態(tài)。根據(jù)這種電路狀況,如果希望在8 個數(shù)碼管顯示希望的數(shù)據(jù),就必須使得8 個選通信號k1、k2、k3、k4、k5、k6、k7、k8分別被單獨(dú)選通,并在此同時,在段信號輸入口加上希望在該對應(yīng)數(shù)碼管上顯示的數(shù)據(jù),于是隨著選通信號的掃變,就能實(shí)現(xiàn)掃描顯示的目的。5.2 fpga功能模塊fpga部分的各功能模塊都在quartus平臺上運(yùn)用vhdl語言編程設(shè)計(jì)并進(jìn)行仿真。quartus生成的數(shù)字頻率計(jì)fre_indicator的rtl圖如圖5-5所示,包括數(shù)控偶數(shù)倍分頻器dvf,測頻控制信號發(fā)生器control,bcd碼加法計(jì)數(shù)器

43、cnt28,數(shù)據(jù)鎖存器lock28,自適應(yīng)器zishiying,分頻比重置器value,數(shù)碼管掃描譯碼模塊led_scan。圖5-5 數(shù)字頻率計(jì)的rtl圖其頂層文件vhdl描述如下所示:library ieee;use ieee.std_logic_1164.all;entity fre_indicator isport(clks,clkt: in std_logic;-clk_s基準(zhǔn)信號輸入,clk_t待測信號輸入 d1:out std_logic_vector(7 downto 0);- d1位碼輸出 d2: out std_logic_vector(7 downto 0);- d2段碼輸

44、出end fre_indicator;architecture behav of fre_indicator is-數(shù)控偶數(shù)倍分頻器dvfcomponent dvfport(clk:in std_logic;n:std_logic_vector(23 downto 0);fout,full:out std_logic);end component;-測頻控制信號發(fā)生器controlcomponent controlport ( clk: in std_logic; cnt_rst: out std_logic; cnt_en: out std_logic;latch_clk: out std_

45、logic);end component;- bcd碼加法計(jì)數(shù)器cnt28component cnt28 port(clk,rst,en: in std_logic; cq: out std_logic_vector(27 downto 0);end component;-數(shù)據(jù)鎖存器cnt28component lock28port(clk: in std_logic; din: in std_logic_vector(27 downto 0);dout: out std_logic_vector(27 downto 0);end component;-自適應(yīng)器zishiyingcompone

46、nt zishiying port(din:in std_logic_vector(27 downto 0); c: out std_logic_vector(7 downto 0);h: out std_logic_vector(7 downto 0); cout: out std_logic_vector(31 downto 0);end component;-分頻比重置器valuecomponent value port(yuzhi1,yuzhi2:in std_logic; jizhun:out std_logic_vector(23 downto 0); saomiao:out st

47、d_logic_vector(23 downto 0);end component;-數(shù)碼管掃描譯碼模塊led_scancomponent led_scanport(clk:in std_logic;hin:in std_logic_vector(7 downto 0);dian:in std_logic_vector(7 downto 0); cin:in std_logic_vector(31 downto 0); wout:out std_logic_vector(7 downto 0); dout:out std_logic_vector(7 downto 0);end compone

48、nt;signal cq1,cq2: std_logic_vector(27 downto 0);signal cq3,cq4: std_logic_vector(7 downto 0);signal cq5: std_logic_vector(31 downto 0);signal cq6,cq7: std_logic_vector(23 downto 0);signal s_clk,la_clk,led_clk: std_logic;signal c_rst,c_en : std_logic;signal v1,v2: std_logic;beginu2: control port map

49、(clk=s_clk,cnt_rst=c_rst,cnt_en=c_en,latch_clk=la_clk);u3: cnt28 port map(clk=clkt,rst=c_rst,en=c_en,cq=cq1);u4: lock28 port map(clk=la_clk,din=cq1,dout=cq2);u5: zishiying port map(din=cq2,c=cq3,h=cq4,cout=cq5);u6: led_scan port map(clk=led_clk,hin=cq3,dian=cq4,cin=cq5,wout=d1,dout=d2);u7 : dvf port

50、 map(clk=clks,n=cq6,fout=s_clk,full=v1);u8 : dvf port map(clk=clks,n=cq7,fout=led_clk,full=v2);u10: value port map(yuzhi1=v1,yuzhi2=v2,jizhun=cq6,saomiao=cq7);end behav;5.3.1 測頻控制信號發(fā)生器control(1)control的設(shè)計(jì)根據(jù)本設(shè)計(jì)采用的直接測頻法的原理,測定信號的頻率必須有一個脈寬為1s的輸入信號脈沖計(jì)數(shù)允許的信號;1s結(jié)束后,計(jì)數(shù)值被鎖入鎖存器,計(jì)數(shù)器被清零,為下一個測頻計(jì)數(shù)周期做好準(zhǔn)備。測頻控制信號可以由

51、一個獨(dú)立的發(fā)生器來產(chǎn)生,即圖5-6中的測頻控制信號發(fā)生器control。其中clk為閘門信號輸入口,cnt_rst為計(jì)數(shù)清零信號輸出口,cnt_en為計(jì)數(shù)使能信號輸出口,latch_clk為鎖存信號輸出口。圖5-6 測頻控制信號發(fā)生器control經(jīng)過分頻器得到的閘門信號作為控制器的輸入時鐘,在輸入時鐘的控制下控制器分別輸出計(jì)數(shù)清零信號cnt_rst,計(jì)數(shù)使能信號cnt_en,鎖存信號latch_clk。計(jì)數(shù)使能信號cnt_en為一個1s脈寬的周期信號,對頻率計(jì)中的28位二進(jìn)制計(jì)數(shù)器cnt28的使能端en進(jìn)行同步控制。在cnt_en為高電平的1s允許計(jì)數(shù)時間結(jié)束后,首先需要一個鎖存信號latc

52、h_clk的上跳沿將計(jì)數(shù)器的計(jì)數(shù)值鎖存進(jìn)鎖存器lock28中,其次在鎖存信號latch_clk為高電平的后半個脈寬時間內(nèi)計(jì)數(shù)清零信號cnt_rst的上跳沿將對計(jì)數(shù)器cnt28清零,為下一次的計(jì)數(shù)操作做準(zhǔn)備。可見為了得到1s的計(jì)數(shù)允許時間,分頻器提供給控制器control的時鐘頻率應(yīng)為1hz。測頻控制信號發(fā)生器control的vhdl描述如下所示:library ieee;use ieee.std_logic_1164.all;entity control isport (clk: in std_logic;-閘門信號作為時鐘信號輸入cnt_rst: out std_logic;-計(jì)數(shù)清零信號c

53、nt_en: out std_logic;-計(jì)數(shù)使能信號latch_clk: out std_logic);-鎖存時鐘信號end control;architecture behav of control issignal clkk,div2clk :std_logic;begin process(clk) begin if clkevent and clk=1 and clklast_value=0 then div2clk=not div2clk; end if; end process; process(clk,div2clk)beginif clk=0 and div2clk=0 th

54、en clkk=1;else clkk=0;end if; end process;cnt_rst=clkk;-計(jì)數(shù)清零信號輸出cnt_en=div2clk;-計(jì)數(shù)使能信號輸出latch_clk=not div2clk;-鎖存時鐘信號輸出end behav;(2)control的仿真測頻控制信號發(fā)生器control的仿真圖如圖5-7所示,為了便于仿真clk選取周期為100ns的方波。由圖可見cnt_en高電平脈寬為一個閘門時間clk周期,在cnt_en為低電平的脈寬內(nèi),latch_clk為高電平,而在后半個脈寬cnt_rst為高電平,符合測頻控制信號發(fā)生器control的設(shè)計(jì)要求。圖5-7 測

55、頻控制信號發(fā)生器control的仿真圖5.3.2 數(shù)控偶數(shù)倍分頻器dvf(1)dvf的設(shè)計(jì)數(shù)控分頻器dvf的功能是當(dāng)給定不同預(yù)定值n時,分頻器將對輸入的時鐘有不同的分頻比2n。數(shù)控分頻器就是用計(jì)數(shù)值可并行預(yù)置的加法計(jì)數(shù)器設(shè)計(jì)完成的,其外部接口如圖5-8所示。其中clk為時鐘信號,n23.0為預(yù)置數(shù)輸入端,fout為輸出時鐘,full為計(jì)數(shù)溢出。圖5-8 數(shù)控偶數(shù)倍分頻器dvf系統(tǒng)的基準(zhǔn)信號作為dvf的時鐘信號clk,dvf內(nèi)部有一個24位二進(jìn)制加法計(jì)數(shù)器cnt24對clk的上升沿進(jìn)行計(jì)數(shù),當(dāng)cnt24計(jì)數(shù)計(jì)滿時,將溢出信號輸出給分頻比重置器value,value輸出數(shù)據(jù)n重置計(jì)數(shù)器cnt24的計(jì)數(shù)初值,以保持分頻器的分頻比,同時使溢出標(biāo)志信號輸出over為高電平,over高電平使dvf內(nèi)部的d 觸發(fā)器cnt2取反,與cnt2 同步的fout信號輸出,其頻率為輸入時鐘信號clk的1/2n,即2n倍分頻13 郭海青. 基于vhdl的數(shù)控分頻器設(shè)計(jì)及應(yīng)用j. 現(xiàn)代電子技術(shù),13:99101。本系統(tǒng)需要兩個分頻器,一個為控制器control提供1hz的時鐘信號,一個為數(shù)碼管動態(tài)顯示提供掃描時鐘信號,此掃描時鐘信號以高于100hz為宜。(2)dvf的仿真為了便于仿真,取clk為周期為10ns的方波,預(yù)置數(shù)為n=5進(jìn)行功能仿真。由圖5-9可見,當(dāng)n=5時,fout的周期為1

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論