八位數碼管掃描顯示電路的設計_第1頁
八位數碼管掃描顯示電路的設計_第2頁
八位數碼管掃描顯示電路的設計_第3頁
八位數碼管掃描顯示電路的設計_第4頁
八位數碼管掃描顯示電路的設計_第5頁
已閱讀5頁,還剩18頁未讀 繼續免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、 EDA技術及應用課程設計報告題 目: 八位數碼管掃描顯示電路的設計 院 (系): 機電與自動化學院 專業班級: 電氣自動化技術 學生姓名: 學 號: 指導教師: 2021年 6月10日至2021年 6月23日 華中科技大學武昌分校 EDA技術及應用課程設計任務書一、設計題目八位數碼管掃描顯示電路的設計二、設計主要內容本課題要求掌握使用Quartus II設計數字系統的設計思路和設計方法。學習VHDL基本邏輯電路的綜合設計應用。掌握VHDL語言的語法規范,掌握時序電路描述方法。掌握多個數碼管動態掃描顯示的原理及設計方法。設計一個八位數碼管共陽極動態掃描顯示控制電路,要求顯示學生自己的學號。利用

2、實驗室設備完成系統設計并進行運行調試。1、具體設計內容如下:(1)靜止顯示學號;(2)動態循環顯示學號。2、提供設計報告,報告要求包括以下內容:設計思路、設計輸入文件、設計與調試過程、模擬仿真結果和設計結論。三、原始資料1、LED顯示模塊原理LED有段碼和位碼之分,所謂段碼就是讓LED顯示出“8.”的八位數據,一般情況下要通過一個譯碼電路,將輸入的4位2進制數轉換為與LED顯示對應的8位段碼。位碼也就是LED的顯示使能端,對于共陽級的LED而言,高電平使能。要讓8個LED同時工作,顯示數據,就是要不停的循環掃描每一個LED,并在使能每一個LED的同時,輸入所需顯示的數據對應的8位段碼。雖然8個

3、LED是依次顯示,但是受視覺分辨率的影響,看到的現象是8個LED同時工作。多個數碼管動態掃描顯示,是將所有數碼管的相同段并聯在一起,通過選通信號分時控制各個數碼管的公共端,循環點亮多個數碼管,并利用人眼的視覺暫留現象,只要掃描的頻率大于50Hz,將看不到閃爍現象。2、系統結構圖信號名與芯片引腳對照表硬件資源元件引腳EP3C引腳序號電路使用說明LED數碼顯示A133該部分電路為固定電路。使用LED數碼顯示時請按照器件引腳分配表進行引腳分配后再下載到芯片中。B135C136D137E138F141G142Dp12874LS138S1125S2126S31273、LED連接圖4、課程設計使用設備(1

4、)EDA及SOPC綜合實驗平臺;(2)導線若干;(3)PC機;(4)Quartus II開發工具軟件。四、要求的設計成果(1)根據控制要求設計硬件電路原理圖。(2)編寫用于系統仿真的VHDL源程序。(3)系統仿真及驗證結果。(4)編寫EDA技術及應用課程設計報告,課程設計報告內容包括: 設計方案、課程設計過程和設計思想、方法、原理。 畫出系統的原理圖。 VHDL語言程序及仿真波形。 參考資料、參考書及參考手冊。 其他需要說明的問題,例如操作說明、程序的調試過程、遇到的問題及解決方法、對課程設計的認識和建議等。 可編程控制器技術課程設計報告可以手寫,也可以用電腦編排打印,報告格式按照華中科技大學

5、武昌分校課程設計管理辦法執行。課程設計報告要求內容正確完整,圖表清晰,敘述簡明,語句通順,字數不得少于2021 漢字。 課程設計報告按封面、任務書、設計說明書、圖紙、實物照片貼頁(實物照片貼在A4復印紙上)、成績評定表的順序裝訂。目 錄摘要.1. 課程設計題目及要求.11.1 設計題目.11.2 LED顯示器的動態掃描驅動電路. .11.3 設計方案論證.12. LED顯示器動態掃描驅動電路各單元電路設計. 32.1 計數器與譯碼器的設計.32.2 一位共陽極LED動態驅動電路設計.42.3 七段數碼管的設計.53. LED顯示器動態掃描系統設計.73.1 整體電路圖及工作原理.73.2 VH

6、DL程序設計.73.3電路參數計算.104. Quartus運行調試.124.1時序仿真.124.2硬件邏輯驗證.134.3 調試結果分析.134.4調試中出現的問題及解決方法.145. 設計總結.156. 參考文獻.16 摘要 本文通過一個3-8譯碼器電路,將輸入的4位2進制數轉換為與LED顯示對應的8位段碼,位碼就是LED的顯示使能端,對于共陽級的LED而言,高電平使能。要使8個數碼管動態掃描顯示,就是把所有數碼管的相同段并聯在一起,通過選通信號分時控制各個數碼管的公共端,循環點亮多個數碼管,并利用人眼的視覺暫留現象,只要掃描的頻率大于50Hz,將看不到閃爍現象。使用Quartus II6

7、.0軟件設計一個VHDL程序并對設計方案進行仿真,再硬件調試經檢測輸出正確的設計要求結果。關鍵詞:動態掃描 Quartus II6.0 VHDLAbstract In this paper, through a 3-8 decoder circuit, the input of four hexadecimal number into 2 and eight LED display the corresponding section of code, a codeis the LED display can make side, for the LED with Yang level, hig

8、h level canmake. To make eight digital tube dynamic scans showed that is all the samesegment digital tube connected in parallel, through the gating signal time-sharing control public side, the digital tube loop light multiple digital tube, and use the eye of the phenomenon of persistence of vision,

9、as long as the scanning frequency is more than 50 hz, will see the flicker phenomenon. Using Quartus II6.0 a VHDL program design and the software design simulation, and hardware debugging through testing output correct design requirementsKey words: dynamic scanning Quartus II6.0 VHDL1. 課程設計題目及要求1.1設

10、計題目八位數碼管掃描顯示電路的設計 設計主要內容:本課題要求掌握使用Quartus II設計數字系統的設計思路和設計方法。學習VHDL基本邏輯電路的綜合設計應用。掌握VHDL語言的語法規范,掌握時序電路描述方法。掌握多個數碼管動態掃描顯示的原理及設計方法。設計一個八位數碼管共陽極動態掃描顯示控制電路,要求8位數碼管同時顯示電路顯示8個16進制數,用動態掃描方式實現。利用實驗室設備完成系統設計并進行運行調試。1.2 LED顯示器動態掃描驅動電路的要求 設計要求:(1)分析設計要求,明確性能指標。必須仔細分析課題要求,性能,指標及應用環境等,廣開思路,構思出各種總體方案,繪制結構框圖。 (2)確定

11、合理的總體方案,對各種方案進行比較,以電路的先進性,結構的繁簡,成本的高低及制作的難易等方面作綜合比較,并考慮器件的來源,敲定可行方案。 (3)設計各單元電路。總體方案化整為零,分解成若干自行同或單元電路,逐個設計。 (4)組成系統。在一定幅面的圖紙上合理布局,通常是按照信號的流向,采用左進右出的規律擺放各電路,并標出表的說明。 技術指標: (1)發光強度比 由于數碼管各段在同樣的驅動電壓時,各段正向電流不相同,所以各段發光強度不同,所有段的發光強度值中最大值與最小值之比為發光強度比。比值可以再1.5-2.3間,最大不能超過2.5. (2)脈沖正向電流 若筆畫顯示器每段典型正向直流工作電流為A

12、,則在脈沖下,正向電流可以遠大于A。脈沖占空比越小,脈沖正向電流可以越大。1.3設計方案論證 通過計數器與譯碼器控制三態門,在通過三態門控制輸出中間級使其數據傳送到LED七段數碼顯示器。這里計數器采用555定時計數器,譯碼器采用138譯碼器,按要求采用4位分立元件,如下圖:通常的4位LED顯示器如圖所示,其內部由多只二極管構成,按連接方式不同可分為共陽極LED和共陰極LED。器電路特性基本一致:發光二級管導通壓降為1.2-1.8v,正向工作電流為2mA-15mA。在顯示驅動方式中,采用動態掃描,當掃描公共端時,LED驅動器分別對應a-g的顯示段,LED就能正常顯示。 LED顯示器結構基本的半導

13、體數碼管是由七個條狀放光二極管芯片排列而成的。可實現0-F的顯示。其具體結構有放射罩式,條形七段式及單片集成式多位數字式等。 2.LED顯示器動態掃描驅動電路各單元電路設計2.1 計數器與譯碼器的設計 計數器的設計:計數器選用集成電路74ls190進行設計比較方便。74ls190時同步四位十進制加減計數器,它具有同步清零,同步計數的功能。74ls190的引腳圖如下圖所示: 圖2-1-1譯碼及顯示電路的設計 為了能以十六進制數碼直觀地顯示數字系統的運行數據,目前廣泛使用了七字符顯示器,或稱七段數碼管。這種字符顯示器由七段可發光的線段拼接而成。常見的七段字符顯示器有半導體數碼管和液晶顯示器兩種。

14、半導體數碼管的每個線段都是一個發光二極管。因此,也把它叫做LED數碼管。發光二級管使用的材料與普通的硅二極管不同,半導體中的雜質濃度很高。當外加正電壓的時候,大量的電子和空穴在擴散的過程中復合,其中一部分電子從導帶躍進到價帶,把多余的能量以光的形式釋放出來,便發出一定可見光半導體數碼管和液晶顯示器都可以用ttl或cmos集成電路直接驅動。為此,就需要使用顯示譯碼器將bcd代碼譯成數碼管所需要的驅動信號,以便使數碼管用十進制數字顯示出bcd代碼所表示的數值。 圖 2-1-22.2 一位共陽極LED動態驅動電路設計 動態驅動是將所有數碼管使用一個專門的譯碼驅動器,使各位數碼管逐個輪流受控顯示,這就

15、是動態驅動。由于掃描速度極快。顯示效果與靜態 圖2-22.3 七段數碼管的設計 七段數碼管在工業控制中有著很廣泛的應用,列如用來顯示溫度,數量,重量,日期,時間,還可以用來顯示比賽的比分等,具有顯示醒目,直觀的優點。七段數碼管的具體工作原理可敘述如下:首先將數碼管要顯示的8分為七段如圖: 圖2-3 這七段分別由七個發光二級管構成,根據數碼來決定七段中的中的某一段或幾段進行顯示,例如如果數碼為0,則顯示0.1.2.3.4.5段,即點亮1.2.3.4.5段。對于其他的數字我們以此類推。 七段數碼管可分為共陰極和共陽極的數碼管,對于共陰極的數碼管只有當其輸入端輸入高電平時二極管才會發光;而共陽極的數

16、碼管只有當輸入端輸入低電平時二極管才會發光。共陰極內部每個發光二極管的陰極被接在一起,成為該段的公共選通線;發光二極管的樣機則成為段選線。如果要顯示某個數字只需相應選中對應的段選線。對于共陽極數碼管,則正好相反,內部發光二極管的陽極接在一起,陰極成為段選線。這兩種數碼管的驅動方式是不同的。當需要點亮共陽極的數碼管的一段時,公共端需接高電平,該段的段選線接低電平。從而該段被點亮,當需要點亮共陽極數碼管的一段時,公共段需接低電平,該段的選短線接高電平,該段被點亮。 3.LED 顯示器動態掃描驅動電路系統設計3.1整體電路圖及工作原理 圖3-1 LED顯示模塊原理:LED有段碼和位碼之分,所謂段碼就

17、是讓LED顯示出“8.”的八位數據,一般情況下要通過一個譯碼電路,將輸入的4位2進制數轉換為與LED顯示對應的8位段碼。位碼也就是LED的顯示使能端,對于共陽級的LED而言,高電平使能。要讓8個LED同時工作,顯示數據,就是要不停的循環掃描每一個LED,并在使能每一個LED的同時,輸入所需顯示的數據對應的8位段碼。雖然8個LED是依次顯示,但是受視覺分辨率的影響,看到的現象是8個LED同時工作。多個數碼管動態掃描顯示,是將所有數碼管的相同段并聯在一起,通過選通信號分時控制各個數碼管的公共端,循環點亮多個數碼管,并利用人眼的視覺暫留現象,只要掃描的頻率大于50Hz,將看不到閃爍現象。3.2 VH

18、DL程序設計library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_signed.all;entity scan_seg is port(clk3,clk5:in std_logic; rst: in std_logic; seg_da:out std_logic_vector(7 downto 0); seg_sel:out std_logic_vector(2 downto 0) ); end scan_s

19、eg;architecture ado of scan_seg is signal seg_buf1,seg_buf2,seg_buf3,seg_buf4,seg_buf0:std_logic_vector(3 downto 0); signal seg_buf9,seg_buf10,seg_buf11:std_logic_vector(3 downto 0); signal seg_buf5,seg_buf6,seg_buf7,seg_buf8:std_logic_vector(3 downto 0); signal seg_cnt:std_logic_vector(2 downto 0);

20、 signal seg_temp:std_logic_vector(3 downto 0); signal clk:std_logic; begin process(clk5) begin if clk5'event and clk5='1' then clk<=not clk; end if; end process; process(clk5,rst) begin if clk5' event and clk5='1' then if rst='1' then seg_buf1<="0100"

21、seg_buf2<="0101" seg_buf3<="0000" seg_buf4<="0010" seg_buf5<="0010" seg_buf6<="1000" seg_buf7<="0010" seg_buf8<="0000" seg_buf9<="0001" seg_buf10<="0000" seg_buf11<="0010"

22、; else seg_buf1<=seg_buf11;seg_buf11<=seg_buf10;seg_buf10<=seg_buf9;seg_buf9<=seg_buf8;seg_buf8<=seg_buf7;seg_buf7<=seg_buf6;seg_buf6<=seg_buf5;seg_buf5<=seg_buf4;seg_buf4<=seg_buf3;seg_buf3<=seg_buf2;seg_buf2<=seg_buf1 ; end if; end if; end process; process (clk3,rs

23、t) begin if clk3' event and clk3='1' then if rst='1' then seg_cnt<="000" else seg_cnt<=seg_cnt+1; end if; end if; end process; seg_sel<=seg_cnt; process(seg_cnt,seg_buf4,seg_buf5,seg_buf6,seg_buf7,seg_buf8,seg_buf9,seg_buf10,seg_buf11) begin case seg_cnt is whe

24、n o"0" => seg_temp<=seg_buf1; when o"1" => seg_temp<=seg_buf2; when o"2" => seg_temp<=seg_buf3; when o"3" => seg_temp<=seg_buf4; when o"4" => seg_temp<=seg_buf5; when o"5" => seg_temp<=seg_buf6; when o&qu

25、ot;6" => seg_temp<=seg_buf7; when o"7" => seg_temp<=seg_buf8; when others=> seg_temp<="XXXX" end case; end process; process(seg_temp) begin case seg_temp is when "0000"=> seg_da<="00111111" when "0001"=> seg_da<=&qu

26、ot;00000110" when "0010"=> seg_da<="01011011" when "0011"=> seg_da<="01001111" when "0100"=> seg_da<="01100110" when "0101"=> seg_da<="01101101" when "0110"=> seg_da<="01

27、111101" when "0111"=> seg_da<="00000111" when "1000"=> seg_da<="01111111" when "1001"=> seg_da<="01101111" when "1010"=> seg_da<="01110111" when "1011"=> seg_da<="0111110

28、0" when "1100"=> seg_da<="00111001" when "1101"=> seg_da<="01011110" when "1110"=> seg_da<="01111001" when "1111"=> seg_da<="01110001" when others=> null; end case; end process;end ado;3.3

29、電路參數計算所謂動態驅動實際就是分時點亮不同位置的數碼管,由于人眼的惰性,當數碼管熄滅的時間小于40ms時,給人們感覺就是一直被點亮。發光強度:由于數碼管各段在同樣的驅動電路時,各段正向電流不相同,所以各段發光強度不同。所以段的發光強度不同。所有段的發光強度值中的最大值與最小值之比為發光強度比。比值可以再1.5-2.3之間。最大不能超過2.5.R1-R7電阻值:由于三極管至于導通狀態時的飽和電壓v非常小可以不計,所以從電源減去7段LED的電壓降2v以及發射極極間電壓0.6v余下的就是加在R7-R1上的電壓,為了使流過LED的電流為30mA。4.Quartus 運行調試 4.1 時序仿真波形圖(

30、1) 打開波形編輯器。選擇“file"菜單中的”new"項,在“new"窗口中選擇”other files“中的”vector waveform file"項,打開空白編輯器。(2) 設置時間仿真區域。將仿真時間設置在一個比較合理的時間區域。選擇“edit”菜單中的“end time.”項,在彈出的窗口中的“time"欄處輸入“100”,單位選擇“ms”,將整個仿真區域的時間設為10ms,單擊“ok”按鈕,結束設置。(3) 編輯輸入波形。單擊選中波形編輯窗口的時鐘信號名“clk1”,使之變成藍色條,再單擊左列的時鐘設置鍵,打開窗口,將“clk”

31、的周期設定為0.5ms,“phase”相位設為默認為零,“duty cycle”占空比設為默認值50%。(4) 設定數據模式。單擊信號“light”旁邊的“+”號,可以打開信號的各個分量,查看信號的每一位。如果雙擊“+”號左邊的信號標記,可以打開該信號格式設置的對話框。(5) 波形文件存盤。選擇“file”菜單下的“save”命令,或直接單擊工具欄上的按鈕即可。(6) 仿真器參數設計。選擇“assignment”菜單下的“setting。”項,在“setting”窗口中左側“category”欄中選擇“simulator”項,打開窗口,在“siumlation mode”項目下選擇仿真激勵文件

32、“light.vwf”。在“simulation period”欄中選擇“run simulation until all vector stimuli are use”即全程仿真;確認選中“simulation coverage reporting”;毛刺檢測“glitch detection”為1us;功耗估算“generate signal activity file”。把所有的參數都設定好了之后,就可以觀察相關的波形了。 圖4-142硬件邏輯驗證(1)確認已經打開了工程light。(2)打開“assignments”菜單下的“pins”命令,打開引腳鎖定窗口。(3)用鼠標雙擊“to”欄

33、中的“<<new>>”,再出現的下拉欄中選擇本工程要鎖定的端口信號名(例如clk),然后雙擊對應的“location”欄中的“<<new>>”,在出現的下拉欄中選擇對應端口信號名的器件引腳(例如對應clk,應選擇29)。 (4)按前面提到的引腳信息添加鎖定引腳,全部輸入后單擊工具欄上的保存按鈕,保存引腳設置。當冒個引腳鎖定后,我們在“to”欄下看到該引腳將是斜體顯示的,其他未鎖定引腳則是正體顯示。保持完畢,必須再編譯適配一次,才能將引腳鎖定到最終的下載文件中,此后就可以將編譯好的sof文件下載到實驗系統的FPGA中去了。 圖4-2 4.3 調試結

34、果分析 根據老師所給的提示內容,結合一些自己搜集的資料,數碼管上的學號將從右至左依個移動,循環顯示。4.4 調試中出現的問題及解決方法 調試過程中遇到了幾個問題,下面一個個分析:首先,在調試的過程中 ,發現自己的程序在編譯的過程中有許多的錯誤,通過機子的提示,一步步改正,最終調試成功,但是在我將硬件部分接到計算機上的時候,數碼管上的數字并不能循環顯示,而只能循環一個數字。因為這個問題我想了半天,發現是程序中的循環語句有問題,但是通過幾種改進都沒什么用,依然不行。結果在老師的幫助下 ,改動了一下程序,成功了。 還有一個問題就是我在生成時序波形圖的時候,編譯提示程序有問題,我當時就蒙了,程序都調試

35、成功了怎么會在這個時候出現錯誤,重復試了幾次但是還是沒什么用,正好一位同學看到了,也遇到了同樣的問題,原來是自己在軟件使用的過程中打開的東西太多了,導致軟件發生錯誤。5 設計總結 這次課程設計上網查的資料比較多,所以我覺得這次設計做起來比較順手,基本上沒遇到很多自己很難解決的問題。但是這次試驗收獲也不少,首先,由于要自己查資料在自己結合著寫程序,所以要對程序要一個比較深的了解,基本上每一句都要弄懂,就是因為這我在程序上花了不少時間,把程序弄得很懂,這在一方面讓我復習了一下EDA的知識,也加強我對EDA的學習。然后就是這次設計用的是Quartus這個軟件,這個軟件我覺得就是英文版的很難學習,應該

36、經常使用才行,正好這次試驗需要用這個軟件,讓我對英文軟件有了起碼的信心。反正通過這次設計,對EDA這個課程有太大了幫助了。這次設計也體現了很多不足之處。首先,對軟件的使用還不是特別熟練如實驗中軟件平臺不能裝載程序到硬件中時,開始不知道如何去設置軟件參數;其次,對于VHDL語言的掌握程度還有所欠缺,不過在老師和同學的指導下還是順利的完成了本次課程設計。對Quartus II軟件的應用加深了印象,總的來說這次設計收獲還是不小的,學到了不少的知識。6. 參考文獻1 鄭燕,赫建國. 基于VHDL與Quartus II軟件的可編程邏輯器件應用于開發.北京:國防工業出版社,2021.2 Altera. P

37、in Information for the Cyclone III EP3C10 Device. San José:Altera Corp,2021.3 潘松,黃繼業.EDA技術實用教程VHDL版.北京:科學出版社,2021. 教師見習報告總結期待已久的見習已經結束了,在龍巖三中高中部見習聽課,雖然只是短短的兩個星期,但感觸還是蠻深的,以前作為一名學生坐在課室聽課,和現在作為一名準教師坐在課室聽課是完全不同的感受,感覺自己學到了一些在平時課堂上學不到的東西。在這里,我獲得的不僅是經驗上的收獲,更多是教學管理,課堂教學等的理念,以及他們帶給我的種種思考。教育見習實踐過程:聽課。教育見

38、習的主要目的是讓學生在指導教師的引導下,觀摩教師上課方法、技巧等。聽課是教育見習的主要內容。我院規定在一周的見習中需完成至少6課的見習任務。我在教師的安排指導下,分別對高一、高二物理專業課型為主,其他課型齊頭的方式,積極主動的完成了聽課任務,收到良好的效果。我聽的第一節課是高二(8)班,這是一個平衡班,水平不如實驗班高。在上課前。科任老師已經跟我說了這個班的紀律是比較差的,而且成績也不是很好。在我聽課期間,確實有幾個學生在課堂上說話,但是我發現了一個有趣的現象,這個現象我在往后的幾個班都發現了,就是絕大部分的學生的學習熱情都好高漲,積極舉手發言,積極參與課堂活動。我跟老師們提起這個現象的時候,

39、科任老師就跟我說,一個班里不可能所有的學生都能全神貫注地聽完一節課,所以作為一名教師,應該想辦法吸引學生的注意力,調動的積極性,比如可以以小組為單位,以搶答計分的形式調動學生的積極性,這樣課堂氣氛就會活躍起來了。在為期兩周的見習工作中,我真的有很大的感觸,我第一次感受到自己已經從一名學生向一名教師靠近,走在校園里,每當有學生叫我一聲老師,我在感到無比自豪的同時,還感受到了自己的責任。見習工作結束了,我要回到學校繼續我的學習了,但是我會好好記住我從*中學學到的一切,并應用于我的專業學習中去。一、教學管理理念 在龍巖三中,從領導階層到一位普通的科任老師,都秉承以學生為主體的宗旨進行學校的管理,進行教學工作的開展。作為一個課程改革的示范學校,一個教育實驗基地。這所學校鼓勵著老師做各種研究,各種改革。每個班主任都有著自己的管理經驗與管理宗旨。有了這種思想的自由,自然這里也就充滿著探索與嘗試,從而有所創造與進步。在我見習的班集體中,班主任對他的學生說:“我要讓你們成為學習型的管理者,也是管理型的學習者。”這樣一句簡單的話,讓我感到這

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論