




版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、題 目基于fpga的iir數(shù)字低通濾波器設(shè)計(jì)學(xué)生姓名學(xué) 號(hào)院 系物理與電子信息丁程系專 業(yè)電子信息工程指導(dǎo)教師二o 一 年六月一日基于fpga的iir數(shù)字低通濾波器的設(shè)計(jì)與實(shí)現(xiàn)xxx赤峰學(xué)院物理與電子信息工程系,赤峰024000摘要:本文介紹了基于fpga的iir數(shù)字低通濾波器的設(shè)計(jì)與實(shí)現(xiàn),首先介紹了 iir數(shù)字低通濾波器的原 理和分類。接著介紹了 iir數(shù)字低通濾波器的設(shè)計(jì)方法,有用脈沖響應(yīng)不變法和雙線性變換法。又介紹了 用vhdl語言在qmirtusii軟件上生成iir數(shù)字濾波器的各模塊(時(shí)序控制模塊、延時(shí)模塊、頂層模塊、 乘法累加模塊),并用各個(gè)模塊構(gòu)建一個(gè)iir的二階節(jié)。最后通過實(shí)例闡
2、明了基于fpga的四階iir數(shù)字低 通濾波器的設(shè)計(jì),在quartusll軟件上仿從,并得到仿tt圖。關(guān)鍵詞:fpga; matlab; quartusii: iir數(shù)字低通濾波器;設(shè)計(jì);實(shí)現(xiàn)1引言在當(dāng)今數(shù)字技術(shù)發(fā)展的時(shí)代,數(shù)字濾波器被廣泛應(yīng)川于頻譜分析,模式識(shí)別,語言與圖像處 理等領(lǐng)域,數(shù)字濾波器奮著精度尚、實(shí)吋性商、處理速度快等特點(diǎn)。數(shù)字濾波器根據(jù)單位脈 沖響應(yīng)的不同,可以分為有限長脈沖響應(yīng)(f1r)濾波器和無限長脈沖響應(yīng)(iir)濾波器。 fir具冇良好的相位特性,而iir濾波器具冇很好的幅頻特性。iir濾波器所要求的階數(shù)不 僅比fir濾波器低,而目.可以利用模擬濾波器的設(shè)計(jì)成果,設(shè)計(jì)t作
3、景相對(duì)較小,采用fpga實(shí) 現(xiàn)的1ir濾波器同樣具有多種優(yōu)越性。再苕按信號(hào)通過數(shù)字濾波器的特性(主要是幅頻特性) 來分類:奮低通數(shù)字濾波器、商通數(shù)字濾波器、帶通數(shù)字濾波器和帶阻數(shù)字濾波器。為了更 好的了解數(shù)字濾波器,我們用field programmable gate array(現(xiàn)場(chǎng)可編程門陳列)即fpga 來實(shí)現(xiàn)。fpga以k優(yōu)越的實(shí)時(shí)性和設(shè)計(jì)的乂活性,成z控制系統(tǒng)中重要的一部分,并直接 采用vhdl編程簡化設(shè)計(jì)步驟。可以在quartusn、max+plusii等軟件上作仿真。從而使得 fpga在當(dāng)今通信、自動(dòng)控制以及信號(hào)處理等方面得到很廣泛的應(yīng)用。在本文設(shè)計(jì)中提出了 基于現(xiàn)場(chǎng)討編程門陣列
4、器件(fpga)實(shí)現(xiàn)1ir數(shù)字低通濾波器。并在quartusii上做仿真。2 iir數(shù)字濾波器的基本結(jié)構(gòu)及類型.2.1直接型iir數(shù)字濾波器的結(jié)構(gòu)iir數(shù)字濾波器傳輸兩數(shù)的標(biāo)準(zhǔn)表達(dá)式:h(z)=k=nm其對(duì)應(yīng)的差分方程為:y(n) = jaky(n 一)+1 akx、n-k)k=lk=0xb0y圖2-1直接i型x(n)boy(n)圖2-2直接ii型2.2級(jí)聯(lián)型iir數(shù)字濾波器的結(jié)構(gòu)將系統(tǒng)函數(shù)h (z)因式分解為較低的二階型的乘積,每個(gè)雙二階川一個(gè)直接型實(shí)現(xiàn),整個(gè) 系統(tǒng)用雙二階的級(jí)聯(lián)實(shí)現(xiàn),每一個(gè)二階型傳遞函數(shù)為:z-1x(n)1 +w.,2z-2 1 +1 + 4.2z2b0y(n)ao-o_a
5、u_a24z1z-1,26-bi,20-<)圖2-3級(jí)聯(lián)型2.3并聯(lián)型iir數(shù)字濾波器的結(jié)構(gòu)將系統(tǒng)函數(shù)h (z)因式分解為雙二階之和,毎個(gè)雙二階用一個(gè)直接型實(shí)現(xiàn),整個(gè)系統(tǒng)函數(shù) 作為二階節(jié)的并聯(lián)網(wǎng)絡(luò)實(shí)現(xiàn)2。每一個(gè)二階型傳遞函數(shù)為:k=l,".k久o + hz1+4.iz_1 + 4,2z_2罔2-4并聯(lián)型2.4 1ir數(shù)字濾波器的類型iir濾波器主要科巴特沃斯濾波器、切比雪夫?yàn)V波器和橢圓濾波器幾種。給出了以上三種濾 波器實(shí)現(xiàn)同樣性能指標(biāo)所需的階數(shù)和阻帶袞減的比較,如表1所示 表1三種濾波器性能比較原型階數(shù)阻帶衰減/db巴特沃斯615切比雪夫i型425橢圓闌數(shù)3273 iir數(shù)字低
6、通濾波器的設(shè)計(jì)低通濾波器讓某一頻率以下的信兮分a通過,而對(duì)該頻率以上的信兮分雖大大抑制的電容、 電感與電阻等器件的組合裝h。所以低通濾波足一種可以通過低頻信號(hào)而且衰減和抑制商頻 信號(hào)的方式,所以其頻率響應(yīng)函數(shù)|w)|h(w)|07r>a>o)c山此可以希出低通濾波器的同頻帶位于2;r的整數(shù)倍。用fpga實(shí)現(xiàn)濾波器的基木思想就是nm蕪于 y(n) = akyn -)+ akxn-k)來實(shí)現(xiàn)的人,=1k=03.1川脈沖響應(yīng)不變法設(shè)計(jì)iir數(shù)字低通濾波器設(shè)模擬濾波器的系統(tǒng)閘數(shù)為h人s),相應(yīng)的單位沖激響應(yīng)是久,ha(s) = ltha(t).zjt代表拉氏變換,對(duì)久進(jìn)行等間隔采樣,采樣間
7、隔為t,得到久(r),將/7(71)=久(zld作為數(shù)寧濾波器的單位脈沖響應(yīng),那么數(shù)寧濾波器的系統(tǒng)蚋數(shù)/(z)便是h的z變換。因此脈沖響應(yīng)不變法是一種時(shí)域遙近法,它使/z(a2)在采樣點(diǎn)上等于么(/)。但是,模擬濾波器的設(shè)計(jì)結(jié)果是/,所以下面基于脈沖響應(yīng)不變法的思路,退出直接從到/(z)的轉(zhuǎn)換公式。設(shè)模擬濾波器h(人s)只有單階極點(diǎn),且分母多項(xiàng)式的階次高于分子多項(xiàng)式的階次,將ha(5) 川部分分式表示n a式1/=1式1中,為70)的羊階極點(diǎn)。將進(jìn)行逆拉氏變換,得到nw,)式2/=1式2中,zz(z)足單位階躍函數(shù)。對(duì)進(jìn)行等間隔采樣,采樣間隔為t,得到nh(n) = h(1 (nt) =af
8、;7w("z) 3/=1對(duì)式3進(jìn)行z變換,得到數(shù)字濾波器的系統(tǒng)函數(shù)h(z),即na)-1式4對(duì)比式1和式4,h/5)的極點(diǎn)映射到z平面的極點(diǎn)系數(shù) 不變。如果原w)的頻譜不是限于±2及/尸之間,則會(huì)在奇數(shù)tt/t附近產(chǎn)生頻譜混疊,對(duì)應(yīng)數(shù)字 頻率在c0 = ±7c附近產(chǎn)生頻譜混s。為了避免這一現(xiàn)象,令/2(n)二77(nr)那么7aesit z式5稱為實(shí)用公式,此時(shí)=ee<7r3.2用雙線性變換法設(shè)計(jì)iir數(shù)字低通濾波器脈沖不變法的小:要缺點(diǎn)足會(huì)產(chǎn)生頻諾混疊現(xiàn)象,使數(shù)字濾波器的頻響偏離模擬濾波器的頻響 特向。產(chǎn)生的原因足模擬低通濾波器不足帶限于折疊頻率疋/7在離
9、散化(采樣)后產(chǎn)生 了頻譜混疊,在通過映射關(guān)系2 = 使數(shù)字濾波器在附近形成頻譜混疊。為了使這 一缺點(diǎn)消除,我們使用非線性頻率壓縮方法,將整個(gè)模擬頻率軸ik縮到±兀/7之間,再用 z = est轉(zhuǎn)換到z平血上。設(shè)w/5), 5 = ./q,經(jīng)過非線性頻率壓縮后用/» , s p表示,這川.用ji:切變換頻率ik縮:式6平,t為采樣間隔。當(dāng)q從-兀!t經(jīng)過0變化到;r/r時(shí),q則由-oo經(jīng)過0變化到+oo, 實(shí)現(xiàn)了 s平面上整個(gè)虛軸完全壓縮到&平而上虛軸的土;r/t之間的轉(zhuǎn)換。由式6得_e-溝"2+ e_ 則22 ejt/22 1-e-師71 + e-卻
10、39;代入x =s'=jq,,得到:2 1 - p 1ir數(shù)字低通濾波器各模塊的沒計(jì) iir數(shù)字濾波器括以卜兒個(gè)模塊:時(shí)序控制模塊、延時(shí)模塊、頂層模塊、乘法累加模塊。 時(shí)序控制模塊主要產(chǎn)生兩個(gè)信號(hào),一個(gè)是時(shí)延信號(hào)加到延時(shí)模塊,實(shí)現(xiàn)時(shí)延功能;w個(gè)是 計(jì)算處理信號(hào),加到乘法累加模塊,實(shí)現(xiàn)先加p乘的功能。延時(shí)模塊主要作用是對(duì)數(shù)據(jù)進(jìn)行 時(shí)延,使前一個(gè)數(shù)據(jù)賦給后一個(gè)數(shù)據(jù),然后將數(shù)據(jù)輸入到乘法累加模塊。乘法累加模塊主要5 =r 1+f7在通過z = 從&平面轉(zhuǎn)換z平而上,得到:2 1-z'1 ri + zzl2z=式在時(shí)鐘的作用下實(shí)現(xiàn)加法和乘法的功能,最后輸出濾波器數(shù)據(jù)。s式7和式
11、8成為雙線性變換。從x平而到平而的非線性頻率壓縮,使/()帶限于jt-rad is ,因此再川脈沖不變法從&平面轉(zhuǎn)換到z平面不可能產(chǎn)生頻譜混疊現(xiàn)象。這就足雙線性變換法的優(yōu)點(diǎn),w外,從平側(cè)轉(zhuǎn)換到z平側(cè)仍然采用轉(zhuǎn)換關(guān)系z(mì) =, 5,平側(cè)的土;r/r之間水平帶的左半部分映射到z平而單位圓內(nèi)部,虛軸映射為單位圓,這樣 因果穩(wěn)定,轉(zhuǎn)換的也足因果穩(wěn)定的。雙線性變換法設(shè)計(jì)的iir數(shù)字低通濾波器的缺點(diǎn)是勿和q之間成非線性關(guān)系,使得數(shù)字濾波器頻響曲線不能保真地模仿模擬濾波器的頻響 曲線形狀。4.1時(shí)序控制模塊的設(shè)計(jì)時(shí)序控制模塊主要用來產(chǎn)生對(duì)其它模塊的時(shí)序控制信號(hào)。首先對(duì)系統(tǒng)進(jìn)行初始化處理,當(dāng) res信號(hào)
12、為”1”時(shí),對(duì)整個(gè)系統(tǒng)進(jìn)行清零|nl。當(dāng)res信號(hào)為“0”吋,時(shí)序控制模塊在吋鐘 clk上升沿的作用卜產(chǎn)生兩個(gè)信號(hào)clk_reg及clk_ regbt。其巾,clk_reg信號(hào)用 來作為延時(shí)模塊、乘法累加模塊的輸入時(shí)鐘,clk_regbt每隔6個(gè)時(shí)鐘產(chǎn)生一個(gè)高電平作 為這三個(gè)模塊的復(fù)位信號(hào)。time control:elkelkresclk_reg elk 一regbtsiiwotinstlelkregelk一regbt圖4-2時(shí)序控制模塊時(shí)序仿真圖karievalue a 16 65 ips 20.0 ns 40.0 ns 60.0 ns 80.0 ns 100.0 ns 120.0 ns
13、140.0 ns16.65 nsdoresdk.reg.gbtaoa1aoaoi6a,°as卜200,° m如卜測(cè).卜hi圖4-3吋序控制模塊功能仿真圖吋序抄:制模塊仿真如圖4-2和閣4-3所不,在elk的上升延到來吋,counter以6個(gè)吋鐘力周 期開始進(jìn)行計(jì)數(shù),clk_regbt每隔6個(gè)吋鐘輸出一個(gè)低電t, clk_reg則每隔6個(gè)吋鐘后輸出 一個(gè)高電。輸出的兩個(gè)吋鐘信號(hào)正好控制延吋梭塊、乘法累加模塊的運(yùn)行,符合沒計(jì)要求。程序編寫說明:官先在實(shí)體中定義輸入信號(hào)res,clk,輸出信號(hào)reg,regbt,在結(jié)構(gòu)體中定義信號(hào) 參s counter,clk_en,en;然后確
14、定輸岀信號(hào)與輸入信號(hào)的欠系,編寫算法clk_regbt<=not elk and clk_en and en和clk_reg<=not elk and not clk_en and en產(chǎn)生輸出信兮;最后以counter 為0到5為一個(gè)周期循環(huán)計(jì)算以上輸出信號(hào)。程序見附圖。4.2延吋模塊的設(shè)計(jì)k主要作用是在時(shí)鐘作用下將差分方程的各x、y值依次逐位延遲一個(gè)時(shí)鐘,實(shí)現(xiàn)延時(shí)運(yùn)算, 即當(dāng)輸入為x(n)和y時(shí),經(jīng)過一次延時(shí)后其輸出分別為x(n-l)和y(n-l)。resxn11.oyout11.0elkxo11.ovi n 1 mresx 111 jxn11"0x211.oyout
15、11.0y111.o】y2ii.o參參番參鑲參參參timejjelay)utput)utput)utput)utputxo11.okl11.ok211.oinst3圖4-5延吋模塊吋序仿真圖mastei time bar. 16.65 ns porte:295.26 m intwva 278.61 w startehj:a s <s< 典 t g°°:以1665 re"|ponler93.39 nsirterval:7s.74nsstart:endv:)ps40.卜0卜 120.0 ns 160 0 ns200.卜240 0 ns 280.0 ns 3
16、20 0 ns mo ns tffl 0 nsmaster iim5 bararesdkqyoutqxnqxo0xlqx2e)ylq1tln_nnlnmmru:01x2x3)(4 y s k0:01(2 x 3 x 4 x 5 7:0: :!:!:!:!::01xu 3joj 5 i:h 0 h h : h : h013 x u 5 r00x 1 u)! 3 xo 5 x0:01zxi7 3001 x23 x u s y015o>£sry41zal67圖4-6延吋模塊時(shí)序功能仿真圖延時(shí)模塊仿真圖如上圖4-5和圖4-6所示,由圖可以看出當(dāng)輸入的xn>yout都為0,l,2,
17、3,4,5時(shí),在時(shí)鐘信號(hào)上升沿的作用下產(chǎn)生延時(shí),經(jīng)第一個(gè)時(shí)鐘fxo、xl、x2、y()、yl的值分別 為1,0,0,丨,0。經(jīng)第二個(gè)吋鐘后x0、xl、x2、y0、yl的值分別為1,1, 0,1,1。經(jīng)第三個(gè)時(shí)鐘后x0、xl、x2、y0、yl的值分別為2,1, 1, 2, 1。經(jīng)第叫個(gè)時(shí)鐘后由此可見該模塊仿真值正確。租序編寫說明:苜先在實(shí)體中定義輸入信號(hào)吻,(:11001,70譏輸出信號(hào)0、*1、*2、71、72, 在實(shí)體中定義延遲信號(hào)reg_x0,reg_xl,reg_x2,reg_yl,reg_y2;然后把依次定義好的延遲信號(hào)送 給x0、xl、x2、yl、y2;疑后在時(shí)鐘clk上刀沿到來時(shí),
18、用算法rcg_x2<=rcg_x 1; reg_xl<=reg_xo; reg_x0<=xn;reg_y2<=reg_y 1; reg_yl<=yout 實(shí)現(xiàn)延吋。程序見附錄。4.3乘法累加模塊的設(shè)計(jì)乘法累加模塊川來實(shí)現(xiàn)輸入數(shù)據(jù)與系數(shù)ai、bi分別相乘后再相加的運(yùn)算。即實(shí)現(xiàn)x, = bqxn +/21xzl_1 + b2xn_2 + axyn_ +a2yn_2的算法。飛法累加模塊輸出的信號(hào)送入累加器 后,與寄存于累加器中的中問結(jié)果相加。mult add1 clkregbt reg匕豐j卜o11.o ii糊t “|x111.o|i糊t “卜211.o|i糊t “|y
19、in.oi/ 聊丁 !|y211.o i> 嫩t “elk 一regbt elk 一reg resxo11.ok111.ok211.oy111.oy2ii.oyout11.0s'utut> yout11.d圖4-7乘法累加模塊圖i)ps160.0 ns320.0 ns480.0 ns640.0 ns800.0 ns960.0 nsiiiiii6.6j5 its10res1clk-reg抄2dkjegbt逆30 x0逆160 xi:2 :;: i i i i i : i : i i i : i :;逆290 x2::3 : i : i i i i i : : : : : i
20、: i i i : i :;逆420 yi逆550 y2:h h :5 : i n : i i i i i i : h :;©680 yout:0 : -x : i : 3: i : i :;<1e>master time bar:16.65ns466.89 ns interval:|_0.24 ns start:er4圖4-9第一級(jí)乘法累加模塊功能仿真圖乘法累加模塊仿真圖如上圖4-8和圖4-9所示,clk_regbt及clk_reg力輸入?yún)肩姡蓞夹蛐?制模塊提供。xo、xl、x2、yl、y2為輸入信號(hào),yout為輸出信號(hào),圖4-8和圖4-9中yout 的值3為xo、x
21、l、x2、yl、y2的值1、2、3、4、5和系數(shù)、卽相乘p再相加的結(jié)果, 完成了乘法累加的功能。程序編寫說明:首先在實(shí)體中定義輸入信號(hào)clk_regbt、clk_reg、res、xo、xl、x2、yl、y2, 輸出信號(hào)yout,在結(jié)構(gòu)體巾定義信號(hào)參量cnt、tmpa、tmpb、ytmp、p,將matlab中設(shè)計(jì) 出來的1ir數(shù)字濾波器系數(shù)a,b寫入濾波器程序屮;然后川cnt<=cnt+l命令使cnt依次增加, 用 tmpa<=b0,bl,b2,a(),al,tmpb<=x(),xl,x2,yl,y2,p<=tmpa * tmpb,ytmp<=ytmp+p 命令實(shí)現(xiàn)
22、 乘法累加運(yùn)算。同理可得到第二級(jí)乘法累加仿真圖:& mastalirnebar. 16.65 n$ pointer 224.11 tw interval:207.46 start:end:ns65fi- j說.st為柏=et t 暌剋=r_門iin門hnunni umrmnmnmmrnnnnnrnnjinmmmnnnm£1n i!: !2:3 :4hi!:! 5; 0s:7160.0 ns 320.0 ns 480.0 ns 640.0 ns 800.0 ns 960.0 nsiiiiii圖4-10第二級(jí)乘法累加模塊吋序仿真圖mader time bar |16.65 rej
23、 .| pointer 404.07 ns interval:do-i!61012g3逆16 g29 逆42 逆55 ©68resclkjegdkjegbt0x00x10x20 yl0 y2 0 yout> ps160.0 ns320.0 nsiio.卜64°?ns 80°-.°ns腳.pus16.65nsj1n !1 1 1 1 勹!j:1l::2 :r乂、: 3 :r:4:5 :voyh;::2387.42 re start:end:|冬i 4-11第二級(jí)乘法累加模塊功能仿真閣4.4頂層模塊的設(shè)計(jì)頂層模塊設(shè)計(jì)采川了原理圖輸入方法,原現(xiàn)圖輸入方式
24、非常直觀,便于信號(hào)觀察和電路的調(diào) 節(jié)。圖4-12頂層模塊圖maeftrrebcr20.725ns"porteriwusirtcwal:102 ibslat:end10!tlt>29elkres 0 x s yelk-regclkjet940.0 ns 1.1( ns1.26 us1.42 us1.58 ns1.74 ns1.9 ns2.kus224 y 225226227228229230nmnn_inmnn_njwrn_nannn_rmrw_rrwln_n0<11master t me ber:20.725 n$ j®5.48n$irtervel:964.76
25、 n?slat:endvtmo. 0 ns1.1,1s1.26 us1.42 ust1.58 us1.74 us1.9 nsiini«ae2沙0elk沙1res淨(jìng)20 x224 x225z3 2267_227x228x225j230圖4-13頂u模塊時(shí)序仿真罔tyl5f>28t>29dk-regclkjegbt14:tlk圖4-14頂層模塊功能仿真圖4.5 11r數(shù)字低通濾波器的系統(tǒng)仿真結(jié)果分析。系統(tǒng)先開始處于初始狀態(tài),當(dāng)res信號(hào)為“1”時(shí),對(duì)整個(gè)系統(tǒng)進(jìn)行清零。在res為“0” 的前提,時(shí)序控制模塊在時(shí)鐘elk上升沿的作用 k產(chǎn)生兩個(gè)信號(hào)clk_reg及clk_regb
26、t, -k中clk_reg信號(hào)用來作為延時(shí)模塊、補(bǔ)碼乘加模塊和累加模塊的輸入時(shí)鐘,clk_regbt 每隔6個(gè)吋鐘產(chǎn)生一個(gè)高電平作為這三個(gè)模塊的復(fù)位信號(hào)。延吋模塊在接收到clk.reg高 電t信號(hào)吋淸零輸出端,接收到低電t吋,在clk_regbt上升沿的作川不對(duì)輸入信號(hào)進(jìn) 行延吋,以實(shí)現(xiàn)-次延吋運(yùn)算,而后將延吋信號(hào)輸出,送給乘法累加梭塊。乘法累加模塊在 接收延時(shí)信號(hào)的同時(shí)也接收輸入的系數(shù)信號(hào),在clk_regbt上升沿的作用卜實(shí)現(xiàn)系數(shù)和 延吋信號(hào)的乘法累加運(yùn)算,而此步驟需要6個(gè)吋鐘來完成,正好與吋序控制模塊的輸出信號(hào) clk_regbtln致。乘法累加模塊的輸岀一部分送入延時(shí)模塊以實(shí)現(xiàn)信號(hào)的反
27、饋,另一部 分則送入到累加模塊,在累加模塊中進(jìn)行結(jié)果累加p輸出,得到敁終結(jié)果。4.6高階iir數(shù)字低通濾波器的fpga實(shí)現(xiàn)要實(shí)現(xiàn)一個(gè)高階iir數(shù)字低通濾波器,如果采用直接型結(jié)構(gòu)實(shí)現(xiàn),需要的乘法器和延遲單 元相對(duì)較多,而且分子和分付的系數(shù)相差很大,因此需要較多的二進(jìn)制位數(shù)冰能實(shí)現(xiàn)相應(yīng) 的精度耍求。而采用二階節(jié)級(jí)聯(lián)實(shí)現(xiàn),一方而各基本節(jié)的零極點(diǎn)討以很方便地單獨(dú)進(jìn)行調(diào)整, 另一方而可以降低對(duì)二進(jìn)制位數(shù)的要求,因此選用級(jí)聯(lián)結(jié)構(gòu)。下而以四階iir數(shù)字低通濾 波器為例來說明高階濾波器的實(shí)現(xiàn)。5 iir數(shù)字低通濾波器的ffga實(shí)現(xiàn)5.1原理分析由表1 4見,橢閥濾波器給出的設(shè)計(jì)階數(shù)比前兩種低,且頻率特性較好,
28、過渡帶較窄,所以耗費(fèi) 的硬件資源少。木系統(tǒng)選用橢圓函數(shù)濾波器進(jìn)行設(shè)計(jì)。數(shù)字濾波器實(shí)際上足一個(gè)采用冇限精度算法實(shí)現(xiàn)的線性非時(shí)變離散系統(tǒng),它的設(shè)計(jì)步驟為:首 先根據(jù)實(shí)際需要確定其性能指標(biāo),再求得系統(tǒng)函數(shù)h(z),最后采用有限精度算法實(shí)現(xiàn)。根裾實(shí)際需,要設(shè)計(jì)一低通濾波器,木系統(tǒng)以設(shè)計(jì)一個(gè)4階低通濾波器為例,通頻帶袞減 不得低于3db,阻頻帶衰減最少低于50db,上限阻帶頻率為300hz,采樣頻率為1000hz。 系統(tǒng)蚋數(shù)h的計(jì)算采用matlab軟件比較方便,在matlab巾調(diào)用ellip()鬧數(shù)計(jì)算,b,a = cllip (4, 3, 50, 300 / 500),可以求得直接型橢岡iir濾波器的
29、各個(gè)系數(shù),如圖5-141atlabfile edit yiew web window helpd 運(yùn)豳 is n o | 1? current directory: c:vv» b, a=ellip(l 3, 50, 300/500)b =0.11160.36740.52010.36740.1116a =1.0000-0.02621.0095-0.25490.3596閣5-1 matlab汁算結(jié)果 冇圖5-1可知h (z)為:n人.=()'-az-k又=0_ 0.1116 + 0.3674z-1 + 0.5201z-2 + 0.3674z-3 + 0.1116廣_ 1 一0.
30、0262廠1 +1.0095z2 -0.2549z_3 + 0.3596z4由上試可知,這是一個(gè)四階iir系統(tǒng)。利用matlab頻率響俺函數(shù)freqz(b,a河得出出該系統(tǒng) 的幅頻特性和相頻特怦如圖5-2所示,可見滿足設(shè)計(jì)要求。(gp) 3pnl!u6ez-20-40-60-80(ssjbap'rseqd100hq100-200-30000.10.20.3| 0.40.50.60.70.80.91normalized frequency (xn rad/sample)00.10.20.30.4:0.50.60.70.81 0.91normalized frequency (xti ra
31、d/sample)圖5-2系統(tǒng)的幅頻特性和相頻特性這是一個(gè)叫階的iir數(shù)字低通濾波器,要想實(shí)現(xiàn)最好采用二階節(jié)級(jí)聯(lián)實(shí)現(xiàn),本例為四階,需 要二個(gè)二階節(jié),所以我把h(z)的直接型結(jié)構(gòu)轉(zhuǎn)換為級(jí)聯(lián)型結(jié)構(gòu)。利川matlab把直接型結(jié) 構(gòu)轉(zhuǎn)化為級(jí)聯(lián)型結(jié)構(gòu)。結(jié)果如下:/(z) = /,(z)x/2(z)0.1116 + 0.2086z_1 +0.1116z-2 1.0 + 1.4039z1 +1.0z2 1-0.5361 廠1 + 0.4138z-21-0.5099z-1 + 0.869z2x(n)-0. 1116y(n)0.5361 4z0.2086 ->-0.4138z0. 111670.5099人
32、1.4039k-0.869 jff 2_1 .1k由上式可以看出,每個(gè)二階節(jié)的分子、分母系數(shù)差異減少了。并且可看出要實(shí)現(xiàn)這一性能,需要 四階橢岡濾波器,用二個(gè)菽本二階節(jié)級(jí)聯(lián)來實(shí)現(xiàn),其具體級(jí)聯(lián)形式如圖5-3所示。圖5-3二個(gè)二節(jié)階級(jí)聯(lián)的流程閣本例的數(shù)字低通濾波器采用二節(jié)級(jí)聯(lián)實(shí)現(xiàn),一方面各基本竹的零點(diǎn)、極點(diǎn)可以很方便地單 獨(dú)進(jìn)行調(diào)整,w方刖吋以降低對(duì)二進(jìn)制數(shù)位數(shù)的要求,所耑的存儲(chǔ)單元較少,迕硬件實(shí)現(xiàn) 時(shí)甚繭還町以川一個(gè)二階節(jié)進(jìn)行時(shí)分復(fù)川,進(jìn)一步降低對(duì)fpga硬件資源的耍求。將/jz)的二階節(jié)系統(tǒng)函數(shù)進(jìn)行逆z變換,得到艽差分方程為:y, (/?) = rzox(h) aax(n 一 1) + a2x
33、(n) + byn-1) 一 什)(/? 2)= 0.1116x(n) + 0.2086x( n -1) + 0.1116x(/?) - 0.536 ly(n -1) - 0.4138 yn - 2)為丫使得設(shè)計(jì)簡便及資源的充分利用,我們應(yīng)該對(duì)系數(shù)進(jìn)一步fi化,把這些系數(shù)轉(zhuǎn)化為整數(shù),這里,將二階m絡(luò)的的系數(shù)擴(kuò)大210倍,然后再將輸出網(wǎng)絡(luò)的系數(shù)縮小210倍,這樣的話, 會(huì)大大減少fpga硬件資源的利用率,經(jīng)過擴(kuò)大后的式子如下: y, (n) = 114x(n) + 214x(n -1) + 114x(n) - 549y(n -1) - 424y(n - 2)可以看出,一個(gè)基木的二階節(jié)的實(shí)現(xiàn)需要五
34、次乘法運(yùn)算和叫次加法運(yùn)算(采用二進(jìn)制補(bǔ)碼將 減法運(yùn)兌變?yōu)榧臃ㄟ\(yùn)算)。兩個(gè)二階節(jié)共需要十次乘法運(yùn)算。雖然現(xiàn)在己有上千萬門的fpga 產(chǎn)品hr共選川,似是一般應(yīng)川時(shí)全部采川硬件陳列乘法器畢競不太合適,而如果采川串行乘法 器進(jìn)行分時(shí)復(fù)用,k工作速度也不太理想。木文采用一個(gè)折屮的方法實(shí)現(xiàn),即乘加單元(mac) 的乘法器采用陣列乘法器,而不使用中行乘法器,以提高運(yùn)算速度。5.2頂s iir模塊elkresxn11r濾波器要正常工作,就必須要有對(duì)外接u,其中乜括時(shí)鐘,復(fù)位,輸入輸出數(shù)據(jù)等, 本設(shè)計(jì)的頂層模塊主耍由二個(gè)iir二階節(jié)子模塊和輸入輸岀寄存器構(gòu)成,輸入口包括s位輸 入、時(shí)鐘、使能和輸入數(shù)據(jù)信號(hào),輸
35、出為經(jīng)過iir濾波器p的輸出數(shù)裾信號(hào)。頂層模塊原 理框圖如圖5-4所示ynclk_regclk_regbt圖5-4頂層模塊原理圖5.3 iir數(shù)字濾波器的仿真方法介紹首先新建工程文件,然后新建vhdl程序保存在新建的工程中,接著將要仿真的iir數(shù)字 濾波器的vhdl程序設(shè)為頂層模塊,進(jìn)行全編譯,看看冇沒錯(cuò)誤,直到仿真到?jīng)]冇錯(cuò)誤為 .ik;然新逑波形文件,定義輸入信號(hào)波形,設(shè)置仿真周期,時(shí)鐘周期;最后在settings屮 設(shè)置為吋序或功能仿真,加入波形文件,注意的是在功能仿真時(shí)要加入功能網(wǎng)表然后在仿真, 否則或出現(xiàn)錯(cuò)誤。6仿真結(jié)果利用quartusii編譯仿真,經(jīng)過編譯綜合完之后,加入沖激激勵(lì)信
36、號(hào),仿真后的結(jié)果如圖6-1 所示,從圖分析可得知,經(jīng)過5個(gè)單位的時(shí)鐘周期后出現(xiàn)的仿真結(jié)果與之前在matlab 軟件中仿真得出的沖激響應(yīng)圖相符合。圖6-1仿真結(jié)果在上述設(shè)計(jì)中只需要加入a/ d、d/ a轉(zhuǎn)換器及相應(yīng)的信號(hào)輸入輸出接口電路,就可以完成 一個(gè)完整iir數(shù)字低通濾波器的設(shè)計(jì)。7結(jié)朿語本文介紹了 iir數(shù)字低通濾波器的原理、沒計(jì)以及在fpga上實(shí)現(xiàn)的方法。在實(shí)際使川屮, 可以根裾不同精度要求,方便地對(duì)該iir數(shù)字低通濾波器參數(shù)等進(jìn)行修改以滿足不同的指稱 要求,靈活地組成任意階不同類型的濾波器。使川乘加塊實(shí)現(xiàn)數(shù)學(xué)運(yùn)算,可進(jìn)一步節(jié)彷硬件 資源和縮短運(yùn)行周期。iir數(shù)字濾波器好的幅頻特性使其廣
37、泛應(yīng)用干實(shí)吋、高速場(chǎng)合, 設(shè)計(jì)出穩(wěn)定町靠的高速ur濾波器冇著顯而易見的意義。木文以fpga為載體、以四階11r 數(shù)字低通濾波器的沒計(jì)為例提出一種快捷沒計(jì)高速iir數(shù)字低通濾波器的方法,并在理論上 對(duì)所設(shè)計(jì)的濾波器穩(wěn)定性進(jìn)行了分析。首先利用matlab得到濾波器的傳輸函數(shù),然后采用 取整的方法,對(duì)傳輸函數(shù)系數(shù)s化處理,以充分發(fā)揮fpga的高速性能,敁f使用vhdl 硬件描述語言編程實(shí)現(xiàn)iir數(shù)字低通濾波器。仿真表明,該設(shè)計(jì)法快捷、高效,能在一個(gè)吋 鐘周期內(nèi)完成濾波處理,設(shè)計(jì)的濾波器能應(yīng)用于高速場(chǎng)合。根據(jù)該方法,經(jīng)過測(cè)試,性能滿 足要求。8參考文獻(xiàn)lu 丁玉美,高西全.數(shù)字信號(hào)處理(第二版)lmj
38、.四安:西安電子科技大學(xué)出版社,2002.21陳亞勇matlab信號(hào)處理詳解ml.北京:人民郵電出版社,200131曾繁氣陳美金vhdl程序設(shè)汁ml.北泉:清平人學(xué)出版社,20044王誠,薛小剛,鐘信潮fpga/cpld設(shè)計(jì)工具xilinxtse使川詳解m.北京:人民郵電出版社,200515j ws嚴(yán)舒楊白基于fpga的iir數(shù)字濾波器的設(shè)計(jì)與仿真。20086j李良鈺。fpga網(wǎng)階1ir數(shù)字濾波器實(shí)現(xiàn)。2008.71個(gè)登峰基于fpga和dsp的數(shù)字濾波器設(shè)計(jì)d1南京理工人學(xué)2004.68梁二虎劉文怡張文棟葙于fpga的iir數(shù)字濾波器硬件模塊的設(shè)計(jì)j微計(jì)算機(jī)信息 2008.0219j朱幼蓮陶為
39、戈iir數(shù)字濾波器的fpga實(shí)現(xiàn)jj電氣電子教學(xué)學(xué)報(bào)2007.0210羅軍益成彬彬陳澩根令磊明川matlab沒計(jì)及fpga實(shí)現(xiàn)11r濾波器的方法內(nèi)江科技j內(nèi)江科技2006.0611楊曉慧楊貨健難于fpga的eda/sopc技術(shù)與vhdlm國防工業(yè)出版社2007.07l12j王開軍姜宇柏面向cpld/fpga的vhdl設(shè)計(jì)lmj機(jī)械工、ik出版社2006.10 13j羅朝霞高書莉cpld/fpga沒計(jì)及應(yīng)川m人k郵電出版社2007.07 14王平李冇軍劉建存matlab電了仿真及應(yīng)用教程m國防工業(yè)出版社2007.04l15j童位理基于fpga的11r濾波器的快捷設(shè)計(jì)ijj電子元器件應(yīng)用2007.
40、0516趙亞梅杜紅棉張志杰基于matlab 一種iir數(shù)字帶通濾波器的設(shè)計(jì)與仿真j1 微計(jì)算機(jī)信息2007.0517孫福玉matlab程序設(shè)計(jì)教程mm呼和浩特遠(yuǎn)方出版社.2006.5: 117-129fpga-based hr digital low pass filter design and implementation xxxchifeng college of physics and electronic information engineering, chifeng 024000abstract: this paper describes the fpga-based iir di
41、gital filter design and implementation, first introduced the digital filter, low pass digital filter and iir digital filter classification. then introduced the low-pass iir digital filter design methods, useful for the same impulse response method and bilinear transformation method in two ways. fina
42、lly, to clarify the iir digital low pass filter fpga implementationkeywords: fpga; matlab ; quartusii; iir digital low-pass filter; design; to achieve致謝在即將畢業(yè)的最后一段h子里,我非常感謝我的指導(dǎo)老師張立萍對(duì)我畢業(yè)設(shè)計(jì)的幫助,沒有 她的幫助,我真不知道我的論文能不能順利的完成。張老師人真的很好,我知道她工作很忙, 但她還是抽出很多時(shí)間輔導(dǎo)我的畢業(yè)設(shè)計(jì)。冇時(shí)候,我丄作很忙,她就主動(dòng)幫我找相關(guān)的材 料;我程序編完之后,她很仔細(xì)的看了乂看,給我提了
43、很多寶貴的意見。她是一個(gè)很善良乂 熱心的人。同時(shí)我要感謝孫福玉老師對(duì)我畢業(yè)設(shè)計(jì)上的幫助;-路走來,父母始終無私的付 出著對(duì)我的愛,是我強(qiáng)大的精神支柱,不用言語,我會(huì)用行動(dòng)證明我也愛他們。附錄個(gè)模塊的vhdl程序1時(shí)序控制模塊程序library ieee;use iccc.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all; entity time_control is port( clk,res:in std一logic;clk_rcg,clk_rcgbt:out stdjogic );
44、 end time_control;architecture bhv of time_control issignal counter:integer;signal clk_en:stdogic;signal cn: stdjogic;beginclk_regbt<=not elk and clk_en and en; clk_reg<=not elk and not clk_en and en; process(clk,res)beginif(res=t)thencounter<=0;clk_en<=*0'en<=,0,;elsif(clkevent a
45、nd cik=t)then if( counter<6)then clk_en<=t;en<=t;countcr<=countcr+l;else counter<=0;clk_en<='0;en<=t;end if;end if;end process;end bhv;2延時(shí)模塊稈序 library iccc;use ieee.std_logic_1164.all;use ieee.stdjogic_unsigned.all;use ieee.stdjogic_arith.all;entity time_delay isport (clk:in
46、 stdlogic; res:in std_logic;xn:in std_logic_vector(l i downto 0); yout:in std_logic_vector( 11 downto 0); x(),xl,x2:out std_logic_vector( 11 downto 0); yl,y2:out std_logic_vector( 11 downto 0);end time_delay;architecture bhv of time_delay issignal reg_x0,reg_xl,reg_x2:stdjogic_vector(l l downto 0);
47、signal reg_y 1 ,reg_y2:std_logic_vector( 11 downto 0); beginxo<=reg_xo;xl <=reg_x 1;x2<=reg_x2;yl<=reg_yl;y2<=rcg_y2process(res,clk)beginif (res=t) thenreg_xo<=,'()()()()()()(x)()()(x)u;rcg_xl <=-'000000000000u;reg_x2<=h000000000000u;reg_yl<=n000000000000u;reg_y2&l
48、t;=n000000000000n;elsif (elkevent and clk=t) thenrcg_x2<=rcg_x 1;reg_xl<=reg_xo;reg_xo<=xn;reg_y2<=reg_y 1; rcg_yl<=yout; end if;end process;end bhv;3一階乘法累加模塊程序library iccc;use ieee.std_logic_1164.all;use ieee.stdjogic_arith.all;use ieee.std一logic_unsigned.all;use ieee.stdjogic_signed
49、.all;entity mult_addl isport(clk_regbt,clk_reg,res: in std_logic;x0,xl,x2,yl,y2: in std_logic_vector( 11 downto 0); yout: out std_logic_vector( 11 downto 0);end mult_addl;architecture bchav of mult_addl issignal ent: integer range 0 to 5;signal tmpa,tmpb: std_logic_vector( 11 downto 0); signal ytmp,
50、p:std_logic_vector(23 downto 0);constant bo: std_logic_vector( 11 constant bl: std_logic_vector(11 constant b2: std_logic_vector(l 1 constant al: std_logic_vector( 11 constant a2: stdogic_vector( 11 begindownto ():=u()()()0()(x)() 101 ln; downto 0):=,000000010111h; downto 0):="000000001011"
51、; downto 0):=h011100100001h; downto 0):=n110011000101n;tmpa<=b0 when cnt=0 elseblb2ala2when cnt=l when cnt=2 when cnt=3 when cnt=4elseelseelseelse (others=()tmpb<=x0 when cnt=0 elsex 1 when x2 when y 1 when y2 when p<=tmpacnt=l elsecnt=2 elsecnt=3 elsecnt=4 else (others=() * tmpb;process (clk_reg,clk_regbt,res);beginif res-i1 thencnt<=();ytmp&
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 城南殮殯管理暫行辦法
- 電動(dòng)機(jī)單機(jī)試運(yùn)行流程與實(shí)施策略研究
- 村級(jí)農(nóng)民夜校管理辦法
- 110kV變電站升級(jí)改造與啟動(dòng)方案研究
- 古代漢語教學(xué)中的語言轉(zhuǎn)化能力培養(yǎng)策略研究
- 鏡子:揭示被忽視的世界歷史
- 大軸徑磁流體密封技術(shù)的發(fā)展與進(jìn)展
- 《完整的PMC部作業(yè)流程體系》
- 工貿(mào)企業(yè)安全教育培訓(xùn)
- 林業(yè)文化遺產(chǎn)地感知價(jià)值與游客重游意愿關(guān)系研究
- 日立電梯小組慢車調(diào)試培訓(xùn)教材(MCA)
- 30萬噸年合成氨、52萬噸年尿素工程可行性研究報(bào)告
- 2020年12月9日湖北武漢黃陂區(qū)社區(qū)干事招聘筆試試題
- 解熱鎮(zhèn)痛抗炎藥非甾體抗炎藥專家講座
- DB44-T 2410-2023紅樹林生態(tài)修復(fù)工程評(píng)價(jià)技術(shù)規(guī)程
- YY/T 1830-2022電動(dòng)氣壓止血儀
- 臨床、口腔醫(yī)師申報(bào)衛(wèi)生高級(jí)職稱工作量登記表
- GB/T 10045-2018非合金鋼及細(xì)晶粒鋼藥芯焊絲
- 2023年納雍縣財(cái)政局系統(tǒng)事業(yè)單位招聘筆試題庫及答案解析
- 2023年廣東省普通高中學(xué)業(yè)水平考試及參考答案
- 建筑工程模板施工工藝技術(shù)要點(diǎn)講義豐富課件
評(píng)論
0/150
提交評(píng)論