VGA接口顯示器顯示漢字設(shè)計(jì)(DOC)_第1頁
VGA接口顯示器顯示漢字設(shè)計(jì)(DOC)_第2頁
免費(fèi)預(yù)覽已結(jié)束,剩余17頁可下載查看

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、1信息科學(xué)與工程學(xué)院EDA 課程設(shè)計(jì)報(bào)告VGA接口顯示器顯示漢字設(shè)計(jì)組員姓名: 班 級: 指導(dǎo)老師: 時間:目錄摘要. 3一、設(shè)計(jì)任務(wù): . 3二、VGA 顯示原理:. 3VGA 的顏色編碼:.三、設(shè)計(jì)方案:四、 調(diào)試過程:. 62五、VGA 顯示功能圖: . 61 頂層模塊:. 82 分頻模塊:. 83 點(diǎn)陣模塊:. 94 時序控制模塊:.13八、改進(jìn)方向: .16總結(jié).17參考文獻(xiàn)173摘要由于 FPGA 芯片具有可靠性高、編程靈活、體積小等優(yōu)點(diǎn),采用其控制 VGA 接口進(jìn)行漢字顯示,有效地解決了通用處理器控制VGA 接口顯示漢字的缺點(diǎn)。關(guān)鍵詞:顯示繪圖陳列;現(xiàn)場可編程門陳列;VGA 漢字

2、顯示、設(shè)計(jì)任務(wù):使用 VGA 接口顯示器顯示漢字,此次演示顯示“中國”兩個字二、VGA顯示原理:VGA 的漢字顯示是利用 VGA 顯示的原理,使用正確的時序信號對 VGA 接 口相應(yīng)的管腳進(jìn)行控制輸出 RGB 顏色信息來顯示相應(yīng)的字符信息其中 VGA 顯 示原理及時序信號的控制必須遵循 VGA 的工業(yè)標(biāo)準(zhǔn)。顯示處理前端中的 SRAM 中存儲的每一位數(shù)據(jù)對應(yīng)相應(yīng)LCD 顯示屏上一個像素點(diǎn)的亮和滅,“ 1 ”表示亮, “ 0”表示滅。在顯示設(shè)備上顯示漢字也就是按照漢字的點(diǎn)陣圖向顯示器上輸出 1 或 0 的高低電平,從而在顯示器上顯示出具體的漢字。常見的彩色顯示器,一般由 CRT(陰極射線管)構(gòu)成,

3、彩色是由 G、R、B(綠:Green,紅:Red,藍(lán):Blue )三基色組成。顯示是用逐行掃描的方式解 決,陰極射線槍發(fā)出電子束打在涂有熒光粉的熒光屏上,產(chǎn)生GRB 三基色,合成一個彩色像素。掃描從屏幕的左邊下一行的起始位置,在這期間,CRT 對電子束 進(jìn)行消隱,每行結(jié)束時,用行同步信號進(jìn)行行同步;掃描完所有行,用場 同步信號進(jìn)行場同步,并使掃描回到屏幕的左上方,同時進(jìn)行行場消隱,預(yù)備下 一場的掃描。對于普通的 VGA 顯示器,其引出線共含 5 個信號:G、R、B:三基色信號: HS:行同步信號;VS:場同步信號。4對于 5 個信號的時序驅(qū)動,對于 VGA 顯示器要嚴(yán)格遵循“ VGA 工業(yè)標(biāo)準(zhǔn)

4、”, 即640X480X60HZ 模式。通常我們用顯示器都滿足工業(yè)標(biāo)準(zhǔn),因此我們設(shè)計(jì) VGA 控制時要參考顯示器的技術(shù)規(guī)則。GRB行消堰Xk下一行閨慷HS _Ti Tb-Td -ATf行扌乎時序要求cm位:像素*即輸出一個擦吏F“J的at間冋隔:T馳C&同步頭:日5Tb;4O Tc:8Tdt行圉像3:&W Te:8 Tf:9TC(:aooGRB坂隴図X場沽隱XTVST*Tb| -T4 -TfT uTa !-場掃描時序要求I華位:行“即輸出行Liw的時間間隔J :T鼻閑同步頭):):2Tb:2S Tc:8Td圖像;陰UT ;525W 6.1 VGA行掃IT場掃描時序團(tuán)上述描述了行

5、場掃描的時序要求:掃描的時候從屏幕的左上方開始,從左到右, 從上到下進(jìn)行掃描,每次掃完一行時候,電子束回到屏幕左邊開始進(jìn)行下一行的 掃描,在這個期間中,CRT 會對電子束進(jìn)行行消隱,從行同步頭開始掃描,每 行結(jié)束后,用行同步信號進(jìn)行行同步;掃描完所有行,用場同步信號進(jìn)行場同步,并使掃描回到屏幕的左上方,同時行場消隱,預(yù)備下一場的掃描。所以時鐘的頻 率為行場周期乘上頻率 525*800*60Hz 約 25MHz,行頻為525*60=31.5KHz, 其中 60Hz 表示場頻率。VGA 工業(yè)標(biāo)準(zhǔn)所要求頻率:時鐘頻率(Clock frequency ) :25.175MHz(像素輸出頻率);行頻(L

6、ine frequency):31469Hz;場頻(Field):59.94Hz(每秒圖像刷新頻率);5VGA的顏色編碼:埶色益紅QQ黃白GOOOi111RO11OO11B1O11O1三、設(shè)計(jì)方案:FPGA 芯片作為中央控制器控制整個系統(tǒng)的處理, 根據(jù)自頂向下的設(shè)計(jì)流程,按照層次化、結(jié)構(gòu)化的設(shè)計(jì)方法可以將 FPGA 系統(tǒng)劃分為以下幾個模塊:頂層模 塊、分頻模塊、點(diǎn)陣模塊、時序控制模塊。系統(tǒng)工作原理:FPGA 芯片讀人配置信息,配置完成后,F(xiàn)PGA 進(jìn)人工作狀態(tài),將要顯示的漢字的字模信息初始化 到單口 RAM中,由系統(tǒng)時鐘產(chǎn)生時序,程序根據(jù)時序信息控制 VGA 接口輸出 行、場同步及顏色信息到顯

7、示器上。注意問題:一個時序驅(qū)動,時序偏差可能導(dǎo)致不正常的顯示,另一個 VGA 信號電平驅(qū)動;顯示處丑里圖1 2 VGA顯示些本圖上圖描述 VGA 基本顯示本次我們的也是在這上面進(jìn)行改進(jìn), 我們將 50MHz 的頻率進(jìn)行二分頻,作為時鐘頻率輸入然后進(jìn)入顯示控制處理電路把 RGB 三基 色以及進(jìn)行的行場同步信號處理經(jīng)過控制后送入顯示設(shè)備。HSA*S6框架圖:四、調(diào)試過程:本次調(diào)試過程中,程序出現(xiàn)了一些小小問題,雖然后面能夠顯示漢字,但修改了下程序顏色并不能很好的控制,進(jìn)行了多次的程序改進(jìn)以及進(jìn)行調(diào)試認(rèn)為設(shè) 置的屏幕大小讓漢字的顏色變化不是很明顯, 也有可能是下載程序時沒有很好的 下載,用的還是以前

8、下載的程序?qū)е骂伾]有改變,我們還是有所不足,需要更多的實(shí)踐經(jīng)驗(yàn)。五、VGA顯示功能圖:引腳圖:Mod? MameDtfecuonLoctonr/OBarie1OauejjutOutput22Adk50_inInputPIN亠2013aGreen_outP1N_1112Ahs_outOutput25*RftdjxjtOutputPIhjM26avs_outOutputPCNJ1727nrw rde: Nanied; iT Edt x| Fter |葉:7仿真圖:Tnv乃 TSE111*4*v411.12m $tvLEM8導(dǎo)出電路圖:六、使用說明:1.打開 QuartusU新建工程,新建 VH

9、DL 語言等一系列基本步驟。2輸入下列程序,編譯,仿真,鎖定引腳后在編譯下載。鎖定引腳要注意幾 個輸入引腳與幾個輸出引腳,要根據(jù)你所擁有的芯片及其原理圖的引腳進(jìn)行鎖 定,否則會出現(xiàn)問題,上述引腳圖可以進(jìn)行參考。3下載完成后如果在筆記本上顯示結(jié)果還需要顯示器的數(shù)據(jù)連接線且還要 裝驅(qū)動程序,個人建議還是在臺式電腦上進(jìn)行實(shí)驗(yàn)結(jié)果操作,把實(shí)驗(yàn)板通電后接 上與電腦顯示器的連接線按下你鎖定的 CLK50_in 鍵后結(jié)果就能顯示“中國”。七、程序設(shè)計(jì)模塊:根據(jù)自頂向下設(shè)計(jì)方法層,首先定義頂功能塊。頂層模塊處于重要的位置, 定義好頂層模塊功能后,才能進(jìn)而分析哪些是構(gòu)成頂層模塊必要的子模塊,然后 進(jìn)一步對各個子

10、模塊進(jìn)行分解,直到達(dá)到無法進(jìn)一步分解的底層功能塊。頂層模 塊主要負(fù)責(zé)規(guī)定各個模塊之間的數(shù)據(jù)信號和控制信號的連接關(guān)系,也就是實(shí)例化Tnv乃 TSE111*4*v411.12m $tvLEM9各子模塊,并且接收 RAM 讀取控制模塊傳來的漢字字模信息數(shù)據(jù)流,根據(jù)數(shù)據(jù)10流信息中比特位為 1 的位賦予紅色, 為 0 的位賦予藍(lán)色, 即用紅色表示漢字“中 國”,用藍(lán)色來表示點(diǎn)陣點(diǎn)背景。1 頂層模塊:定義頂層功能塊,連接各模塊數(shù)據(jù)信號和控制信號library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.ST

11、D_LOGIC_UNSIGNED.ALL;en tity VGA_Module isPort ( clk50_in : in std_logic;-時鐘頻率 50MHZRed_out : out std_logic;-定義紅色輸出信號Gree n_out : out std_logic;-疋義綠色輸出信號Blue_out : out std_logic;-定義藍(lán)色輸出信號hs_out : out std_logic;-水平同步時序輸出vs_out : out std_logic);-垂直同步時序輸出end VGA_Module;2 分頻模塊:時序的驅(qū)動是設(shè)計(jì) VGA 顯示的控制需要注意的一個重

12、要問題,這也是實(shí)驗(yàn) 是否成功的關(guān)鍵設(shè)計(jì)。時序不正確,必定不能正常顯示,有時甚至?xí)p壞顯示設(shè) 備。因此,對于時序的設(shè)計(jì)我們必須遵循 VGA 的工業(yè)標(biāo)準(zhǔn),在設(shè)計(jì)中使用的分 辨率為 640X480,實(shí)驗(yàn)采用的實(shí)驗(yàn)板提供的時鐘頻率為 50 MHz,因此必須將系 統(tǒng)進(jìn)行分頻設(shè)計(jì),即進(jìn)行二分頻的設(shè)計(jì)。50 MHz 的時鐘頻率經(jīng)過分頻后得到實(shí)驗(yàn)所需的 25MHz 頻率,此頻率將為頂層控制模塊提供 VGA 控制模塊和 RA M 讀 取控制模塊的系統(tǒng)時鐘。architectureBehavioral of VGA_Module is sig nal Clk25: std_logic; 時鐘分頻為 25MHz11

13、sig nal Horizo ntal_Co un ter: stdo gic_vector (9 dow nto0);-號定義結(jié)構(gòu)體水平信sig nal Vertical_C oun ter: std_logic_vector (9 dow nto 0);號定義-結(jié)構(gòu)體垂直信begi nGenerate 25Mhz Clock-形成所需的 25MHz 頻率process (clk50_in)-進(jìn)程時鐘頻率為 50 MHz 語句執(zhí)行begi nif clk50_i neve nt and clk50_i n=1 the nif (Clk25 = 0)the nClk25 = 1 after 2

14、 ns;elseClk25 = 0010010000)and (Horizontal_Counter = 0000100111)and (Vertical_Cou nter 1000000111 ) the nRed_out = 0;Green_out = 0;Blue_out =0110111000)and (Horizontal_Counter =0011101000)and (Vertical_Cou nter = 0011110111) the n-if(Pixel = 99) then -Line 1 Lets make our Text WHITE -輸出一個行像素 Pixel的二

15、種顏色時間間隔信號Red_out = char_L1(L ine. Pixel);-Gree n_out = char_L1(L ine. Pixel);-產(chǎn)生水平右移信號-或產(chǎn)生水平左移信號-或產(chǎn)生垂直右移信號或產(chǎn)生垂直左移信號17Blue_out = 99) the nRed_out = O;Green_out = O;Blue_out 0000000000)and (Horizo ntal_Cou nter 0001100001 )the nhs_out = 0;- 水平輸出低電平 0elsehs_out 0000000000)and (Vertical_Cou nter 0000000

16、011 ) - 2+1the nvs_out = 0;-垂直輸出低電平 0elsevs_out = 1;-垂直輸出高電平 1end if;Horizo ntal_Cou nter = Horizo ntal_Cou nter+0000000001;if (Horizo ntal_Cou nter=1100100000) the nVertical_Cou nter = Vertical_Cou nter+0000000001;Horizo ntal_Cou nter =0011101000) - First Lineand (Vertical_Cou nter = 0011110111) th

17、en if (Line = 32) the nLin e:= 0;end if;end if;end if;if (Vertical_Cou nter=1000001001) the n=0000000000;Li ne:= 0;end if;end if;end process;end Behavioral;八、改進(jìn)方向:1、可以增加顏色改為至 8 位 256 色。2、可以通過設(shè)置延時程序調(diào)整程序使?jié)h字進(jìn)行顏色轉(zhuǎn)變。3、使顯示的漢字更大。4、通過改進(jìn)程序,當(dāng)輸入不同信號時,顯示不同的字。232Vertical_C ounter195、通過熟悉硬件結(jié)構(gòu)以及改進(jìn)程序,將靜態(tài)顯示的字變成動態(tài)滾動的

18、字。總結(jié)經(jīng)過本次課程設(shè)計(jì),我們組加深了對 VHDL 的知識理解,尤其是編程設(shè) 計(jì),程序的調(diào)試以及結(jié)果的觀察。比純粹的理論教學(xué)課堂上學(xué)到的知識更多,更 加深刻。實(shí)踐教學(xué)方式對于我們工程運(yùn)用專業(yè)是一個非常適合的教學(xué)方式,不僅鍛煉了個人的動手能力,而且調(diào)動了學(xué)習(xí)的積極性,讓我們從理論和實(shí)踐相結(jié)合。 在課程中也出現(xiàn)了很多問題,比如還不能獨(dú)立地設(shè)計(jì)出完整的程序, 這也是最大 的一個問題;還有在調(diào)試過程中也出現(xiàn)了很多問題;女口:顏色并不能很好的控制、 屏幕大小讓漢字的顏色變化不是很明顯。 但我們都一一克服了。本次設(shè)計(jì)的不足 是不能出現(xiàn)太多的變化,漢字的顏色不能產(chǎn)生漸變,程序也只是最基本的,雖然 努力過了但并沒有太多創(chuàng)新。所以在今后的設(shè)計(jì)中應(yīng)該在理解、

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論